分享

UltraEdit中高亮显示verilog HDL关键词

 快乐一箩筐 2011-08-09

UltraEdit中高亮显示verilog HDL关键词  

2010-07-15 12:58:12|  分类: e栈 |  标签: |字号 订阅

UltraEdit是一款功能强大的文本编辑器,可以编辑文字、HexASCII码,可以取代记事本,内建英文单字检查、C++ 及 VB 指令突显,可同时编辑多个文件,而且即使开启很大的文件速度也不会慢。是一个使用广泛的编辑器,但它并不直接支持HDL

1. 下载Verilog HDL语法高亮文件

Verilog HDL关键字将用不同色彩标出,便于识别。verilog HDL语法高亮文件下载地址为http://www./downloads/extras.html#wordfiles,下载verilog.UEWverilog2001.UEW文件

2. 修改文件

2.1 修改verilog语法高亮文件

把文件开头的L20改为L19

在文件最后加上以下代码

/Open Fold Strings = "begin""case"

/Close Fold Strings = "end""endcase"

/Indent Strings = "begin""case""fork" "if" "else"

/Unindent Strings = "end""endcase""join" "else" 

保存关闭。

 

2.2 修改verilog2001语法高亮文件

在文件最后加上以下代码

/Open Fold Strings = "begin""case"

/Close Fold Strings = "end""endcase"

/Indent Strings = "begin""case""fork" "if" "else"

/Unindent Strings = "end""endcase""join" "else" 

保存关闭。

3. 拷贝语法高亮文件到合适目录下

把语法高亮文件拷贝到D:\UltraEdit\wordfilesUltraEdit 15.0以上版本)目录下。

4. 设置语法高亮文件路径

高级配置编辑器显示语法高亮,定位文档语法高亮的完整目录后点确定。

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多