分享

matlab与ModelSim联合仿真

 春华_秋实 2012-03-21


这篇文章自己感觉对仿真很有用,再次感谢原作者的分享!
 
1. Matlab产生数据用作Modelsim仿真

   FPGA进行算法验证的时候,经常需要输入仿真数据,这些数据可以用FPGA产生,但是如果数据产生过程很复杂的话,需要耗费很大的精力,并且产生的数据的准确性也不能保证。例如,如果要验证一个通信接收机的相关算法,那么我们就需要先产生发送数据,也就是说得先做一个发射机,如果这个过程也由FPGA实现的话,也是一个很复杂的过程。这时候我们就可以借助Matlab,利用Matlab内部自带的各种函数,产生需要的信号,再经过定点化,就作为FPGA接收模块的输入信号了。这样做无疑会节约很多时间和精力。

    下面用一个简单的例子说明如何用Matlab产生的数据用作Modelsim仿真。

    首先利用matlab产生一个周期2568bit的正弦波数据,然后以16进制形式写入sin.txt文件

          N = 256;

          n = 1:256;

         x = fix(128 + (2^7 - 1) * sin(2*pi*n/N));

          fid = fopen('sin.txt','wt');

        fprintf(fid,'%x\n',x);

        fclose(fid);

    下图是截取的产生的数据文件的内容

《转载》通过文件读写方式实现Matlab和Modelsim的联合仿真

    然后将产生的sin.txt文件复制到Modelsim的工程下,在Verilog文件中先定义一个8bit X 256数组,然后通过$readmemh命令,将文件中的数据读入,相关的Verilog代码如下:

reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组

initial

    begin

    $readmemh("sin.txt",data_mem); //sin.txt中的数据读入存储器data_mem

    end

    关于$readmemh的用法可以参见Verilog的参考书,这里就不详细说了。

后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out

always @(posedge clk)

begin

    if(rst)

        begin

        data_out <= 8'd0;

         i <= 8'd0;

       end

    else

        begin

        data_out <= data_mem; //将存储器中的数据输出

        i <= i + 8'd1;

        end

end

    这样利用data_out就可以输出一个正弦波波形,下图是Molesim仿真产生的正弦波波形:

   2. MatlabModelsim仿真生成的数据进行分析

        MatlabModelsim仿真生成数据的处理也是通过文件读写实现的。即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。

    下图也通过一个简单的例子,说明一下整个过程。

    以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件

    integer w_file;

    initial w_file = $fopen("data_out.txt");

    always @(i)

    begin

        $fdisplay(w_file,"%h",data_out);

        if(i == 8'd255)    //共写入256个数据

            $stop;

    end

    下图是截取的data_out.txt的部分内容:

《转载》通过文件读写方式实现Matlab和Modelsim的联合仿真

    然后就可以编一小段Matlab的程序将data_out.txt中的数据读取进行分析了。下面一段Matlab的程序是将数据读取,并通过图形显示出数据的波形。

    fid = fopen('data_out.txt','r');

    fori = 1 : 256;

        num(i) = fscanf(fid,'%x', 1);    %这句话的意思是从fid所指的文件以16进制方式读出一个数据。

    end

    fclose(fid);

    plot(num);

    当利用fscanf函数时要注意两点

    第一:保证读取的数据格式和文件中保存的数据格式是相同的,例如这里文件中保存的格式是十六进制,所以读取的时候也应该以十六进制的形式读出。

    第二:要保证文件中数据的个数和设定的读取的数目(这里是256)保持一致。例如,要将生成文件data_out.txt中多余的换行符去掉(一般最后会多出一行),否则Matlab会将空的行也当做一个数据,从而两个数目不一致,导致Matlab报错。

    下图是Matlabdata_out.txt中的数据读出,并显示出的波形

《转载》通过文件读写方式实现Matlab和Modelsim的联合仿真

当然,有了Matlab这个强大的工具,也就可以很方便的看信号的频谱等信息了。

    另外在说一点,就是关于通过Verilog将数据写入文件有多种方法,上面用的是$fdisplay这个系统函数,当然还有$fmonitor$fwrite等几个命令,下面简单说一下这几个命令的不同。

  • $fdisplay

    这个命令需要有触发条件,才会把数据写入文件,例如,上例的触发条件就是always(i),当i变化的时候才写入。每写入一次数据会自动增加一个换行符。

  • $fmonitor

    这个命令不需要触发条件,只要有变化就可以将数据写入文件。例如可以通过以下语句:

    initial $fmonitor(w_file,"%h",data_out);

    这样可以将整个仿真过程产生的data_out数据都写入文件中。

  • $fwrite

    这个命令和$fdisplay基本相同,也是需要触发条件才会写入,不同的是每写入一个数据不会自动添加换行符。例如可以通过以下语句:

    always @(posedge clk)

    begin

        $fwrite(w_file,"%h\n",data_out);

    end

    关于这几个命令的详细介绍,大家可以参考Verilog的相关数据。

        简单总结一下上面用到的几个函数:

  • 关于Matlab的函数有:fopenfscanffclose
  • 关于Modelsim的函数有:$fopen$fclose$readmemh$readmemb$fmonitor$fdisplay$fwrite

参考程序:

module test_rom;
reg clk;
reg rst;
reg [7:0] data_out;   
parameter delay=100; 
reg [7:0] i;  
reg [7:0] data_mem[0:255]; //????8bit X 256???

always #delay clk=~clk;
initial
    begin 
    $readmemh("sin.txt",data_mem); //?sin.txt?????????data_mem
    rst=1;
    clk=0;
    #(delay*5) rst=0;  
    end      
always @(posedge clk)
begin
    if(rst)
        begin
        data_out <= 8'd0;
         i <= 8'd0;
       end
    else
        begin
        data_out <= data_mem; //??????????
        i <= i + 8'd1;
        end
end
endmodule

 

 

通过文件读写方式实现Matlab和Modelsim的联合仿真

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多