?c P¨.PEFM4JNé? !9_?
ModelSim1HDL_?y á ì V[ ?¨?èq ? LC ? !9¥VHDLVerilog?
?é?_?|?IEEEèn¥ò??q í ??ySb V[é? ??y¥?_??
wivEoB??y_?bModelSimèn¥?''s1ModelSimXE?ModelSimSE ?
ModelSim?''÷?? y"-K??''15.8?''??''|?VHDL¥2002S[#
Verilog¥2001SN???''¥LinuxaHP?SUNyT_?ü ?|?VHDLaVerilog
?SystemC¥?_??Windowsü ? ?|?SystemC¥_?b''c|ModelSim5.7
?''é?o "¥ ^? A?''c? V[e?1 P¨ModelSimé?_?μ1÷ ''
?1??? ^? IModelSim?{¥ó?b??http://www.model.com/support/ 9 V[
s? ''?¥???: ? ?¨[a?è0ˉq? l??V ?¥ á ?? ˉq1
?? á ?¨ da?μBtú)??? P¨1? ApplicationNotes/b
ModelSim5.7SE?''=??s1÷%¥?''I|VModelSim5.7aSE?
ModelSim5.7gSE??b? V[ê4?B?''b 6??TModelSim ^?ISEB P¨
¥?F31IrXilinx¥Bt oóq?t oóq ?unisimasimprimaxilinxcoreliba
aimaplsacpld?μ ?t oóq V[ISE? 3? !9¥?1_? | !9D1
RTL í ?aé?¥_?aDa_? | !9D1Xilinxq¥'' v ? LCaé?
¥_?a? a_? | !9¨Xilinx¥ 8q¥ 8 v LCaé?¥_?_??
c q¥ü H??? àμ? ?LíE4|o ?L¥?yN_???co
?L¥ü Haè?aèE??a? ?La_? | !9Xilinx 8qé?? ?
La4|o ?L¥?é?¥_?c q¥ü H[#o ?L¥ü H??¥
ò???aModelSim??t?é?_???ISE?ModelSimXü LC í
?¥ ?¤ISE?o3 !?B? V j¥o?óq?a?+/ ?Sü V[ LCò?_?
8¥ZEISE¥ P¨Bc?μ?1W%¥o V[? 8¥c?b] H9 V[
P¨ModelSim¥XE XilinxEdition?''????'' ^Xilinx??1?ü"?
Xilinx¥ò? oóq P¨ Hü?31 I n oóqZ ?¥ù5 b?ISE?]?''"?
?]?''¥ModelSim ù? ^"?? ^31??é?? ¥b?ISE6.1?''¥
¥ModelSimXE?''1ModelSim5.7cXEb
''c1ModelSim¥)????''c V[?1 ? ¥ P¨ModelSimé? !9
_?''c àμ9? V? #ModelSim¥ò?Z ?1X? ?¥g?ModelSim V[?
èqó?b
8.1 !9!
''??|o ModelSim5.7¥? Xilinx_? o¥ !??Z ?¥=?,?]¥?''
¥? V?váM]N??o ^?F? XE?'' H?Cê4 ^? ^ ?n
¥Starter?''?T?X ? V[ê4?ê[ LStarter?''@??á ì?n¥ !
9_?b?F ?o ó? V[L #hLicenseóqê| 7 S->??
->ModelSimXEII5.7c->SubmitLicenseRequest?u 7B??:?TFXilinx?_ ?
?¨ V[5L?¨Xü?¨¥?5¨ c?C 6B? ?A UF¥?¨??
Submitü V[ #hLicense bV+sò V[?F¥è0ˉQ ú l|Licenseóqb??
ModelSim¥ àμ ó?o ó? V[? 7 S->??->ModelSimXEII5.7c->License
RequestInstructions?u 7B?ó''óq? 1pAi??·?¥ˉQ'' V???
ZE? ^?4¤?í?b¤?Licenseóqa? 7 S->??
->ModelSimXEII5.7c->LicensingWizard·?Licenseóq'' Vb?dXE?''¥ModelSim
? ]B?èq¥? b
ModelSim¥ò??'' V[Vo ó?
?n¤? ?¥o ^LicenseóqModelSim
¥/1?1http://www.model.com/é???? ? V[?Download¨?A?Bf
Vìa V[¤?B?l H¥/ HW? n: ?Evaluations ?¤???''¥
licenseóqb
ModelSimèq¥F á?¨¥ ^FlexlmZEFlexlm FlexibleLicenseManager ^?
Globetrotter 3? ü¥èqF áZEGlobetrotter 3_èqì
rM1 7?èq
èqì üNF á??"??1-¥èq??ZE ^"-K1 @?¥EDAèqF áZ
EX$80%[ ¥EDAèq 3 ??¨?ZE V[ í?¥??|? 5| P¨
°ù|?F á?[1èq¥? Má?bu 7á ì¤?¥ModelSimStarter?''¤?
¥License.datóq V[ A??/=?
FEATURExe-startermodeltech2004.121-jan-00uncounted\
9C0FA6415C9327086559HOSTID=DISK_SERIAL_NUM=c61e85ack=244
?FEATURE ^1o3xe-starter ^FEATURE'' ? 7Y¥??modeltech
^ì S M2004.12 ^?''S: V[ ^ HW9 V[ ^?''|1-jan-001Vù HW
?T ^permanent0V U¥?Vù? ú¥00üV U?VùuncountedV U P¨| ?
K?9C0FA6415C9327086559 ^? [ Hq?FlexlmF á ?E9 ?¥ á ?
HOSTID=DISK_SERIAL_NUM=c61e85aV U?¥+? V[·??¥??
|a? 5t ?1?[#F á?? ú·?¥ ^¥??|oμ??|1c61e85a H
? V[ P¨bModelSim?^μtEDAèq31 ê¥5 ?y ?5 ?Licensev ?
EDAèq ^315 ?y ?5 ?¥?PADSaActiveHDL???tèq?
H?μ?
LicenseServer¥ê[5 ?y V[ e? ?e ú¥FLEXlmLicenseManageré? !
?b?ModelSimo31ìaM ú· ülicenseóq¥ê?'' V?ModelSim
LicenseWizard V[1? !?ìaM ?31 m? !?Win95/98/autoexec.bat?
F??/B?:SETLM_LICENSE_FILE=c:\flexlm\license.dat?TB ? ?
???F áZ T¥EDAèq V[¨s|? 7?licenseóq?:
SETLM_LICENSE_FILE=c:\flexlm\license1.dat;d:\altera\license2.dat
Win2000?NT?T"d/,°¤"dìaM ? !??t? ?b 8ZE ^á
¥è7 ?·oê4 ???ú)?ìaM ?yB?M M 1
LM_LICENSE_FILEM ′1licenseóq¥ib1?b
P¨?FlexlmF á¥EDAóq H?1 ?i÷?"d HWy1FlexlmF á"d
?S?"dóq¥ HWb
6?ModelSim¥?''?''¥μPEaLEaSE?''PE1?|?''??K
|?¥?T"d132êWINDOWS98/NT/ME/2000/XPLE?''|?¥?T"d132ê
LINUXSE?''1????''|?32ê?T"dAIX,HP-UX,LINUX+
SOLARIS,WINDOWS98/NT/ME/2000/XP64ê?T"dAIX,LINUX(ITANIUM-2),
HP-UX,SOLARIS[#HP-UX,LINUX?ü ?b
8.2???y £o
? ú[ModelSimXEII5.7c1 è ? a ü''? a üModelSim¥???y £?μ
B??¥ 3ü V[ b V[YV? 7 S->??->ModelSimXEII5.7c->ModelSim?
? ¥ y?Z T ???èqC¥? ??m8-2-1 ? Ubm¥K
1S5 £
/ ?B?1?? £/ ?1y £P??s1yTu Workspace? V[YV
?° A?-¥y?# oé?5 ?·??s1 73 gu?C¥ 7?#4
U??1?'' TranscriptK/ ?B?1 ? £b? ú1?i¥ ^μt?T ^íEY
V???y £ ???¥DModelSimB?1D? P¨ 7?Z T ??T訥
7i?? ^?4g?a?c?|o _??¥Btèn 7byN''?=?
? {B/ü V[
L= kB/?÷zb
m.PEFM4JN? ?
8.2.1S5 £
DB?¥Windows3 gM]? ?¥K B?1S5 £A U?-¥?¨??¥?
YV?S5 £¥mS AltoSpaceBar bìo V[3 gé?í??M3 gv
laM?3 gê?a1>3 g- ?¥?T?tDWindows??M]b
8.2.2?? £
S5 £/Z1?? £b?? £μ????[sY ^:File óqaEdit IaView
jmaCompile IraSimulate _?aTools y aWindow 3 gaHelp ú
ùb/ ?sY ? 8ê[b
1.File óq??
óq??Yèc y?#óq?¥?TbModelSim¥óq??c¥ 7μ
New ?yOpen u 7Close 1>Import ??Save iDelete ÷"
ChangeDirectory ÷? ^?Transcript ?''é?5 ?AddtoProject 1y?@F
óqRecentDirectories Kí+Q¥yT ^?RecenProjects Kí+Qy?Quit
|b
1?yóq 7 File/New
?File/New 7|?CB?0??c 1?ê[?Floder ?yóq
Ca?C? 4 U {??y¥óqC¥3'' V?-" c/?yB?óq
C?Source ?y÷óqa?C÷óq ??¥ê[ VHDLVerilogOther
? VsY?y?ì T¥÷óq?Project ?yy?a?C? 4 U
ProjectName) {??yy?¥?ProjectLocation)·??yy?¥ib ^?
DefaultLibraryName)· üa¥ !9 o¥?¨? !9¥óq|Ir?? o??
Library ?yB? oa?C? 4 Uê4CreataNewlibraryandalogicalmapping
toit ?yB? oiy ?B? ??`Amaptoanexistinglibrary ?yB??Xi
o¥?`Liraryname) {??y o¥?Libraryphycialname) {?ib o¥
óq?b
2Open u 7óq
??C0??ê4u 7File óqaProject y?#Dataset WLFóqb
3Close 1>
??C0??ê41>Project y?Dataset _? ? óqb
4Import ??
???¥ oé?t_? H31¥Bt o V[YV?ZE??? 4 U·?÷
o ^?#"S o ^?B???T??b?iModelSim? " c/¥modelsim.inióq?
?1ob?óq?i ModelSim¥Bt !??a?c?|W%) ??óq¥
=?#clb
5Save i
i?-_? ? b
6Delete ÷"
÷"·?¥y?''÷".mpfóqmpf ^ModelSimy?¥ab
7ChangeDirectory ?M ^?
?M?-yT ^?ModelSim P¨¥ ^ '' ^?7? ^M ^??DISE?]
ISE?F V[|F¥ !9??" c J? e??1Zo1" c??F V[°¤
u 7y?óqb7ModelSim??|??" c J? e1Zu 7y? H·_ˉ
1e ?y?¥1? V[YV÷? ^? ? !??¥ ^?b
8Transcript ?''
??C0??ê4?TSaveTranscript i?3 g??''aSaveTranscriptAs
ü?3 g??'' 6i1B??óqClearTranscript b"?3 g?¥?''b
9AddtoProject @F?y?
??C0??ê4?TFile @Fóq??-y?aSimulationConfiguration @
F !?¥_?¥?Folder @FóqCb
10RecentDirectories Kí+QyT ^?
V[V?ê|Kí+Q¥yT ^?b
11RecenProjects Kí+Qy?
V[u 7Kí+Q¥y?b
12Quit |
|ModelSim.
2.Edit I??
? ??Windows?¨??I???c ó''¥Bt訥?Tb
1Copy ˉ?
ˉ?ê?¥ó?
2Paste TL
ügMˉ?¥ó?TL??-???--b
3SelectAll ?ê
ê??3 g? ?μ¥ò''ó?b
4UnselectAll |h?ê
|hXêó''¥ê? ?b
5Find °s
73 g?°s3?3?1b
3.View
jm??
? ?? eWindows?¨?? jm?? V[ e?à A U''t3 gb
1AllWindows
?μ3 g
u 7 ?μ¥ModelSim3 gF kB/? 7??CModelSimu 7 ?3 g
?o?3 ga?| V3 ga÷óq3 g??b
2Dataflow
? @
u 7Dataflow3 g?3 g?A U ? ¥ @_b
3List
V
u 7 V3 gb
4Process é?
u 7V?3 g?3 gA U
!9?¥é? ?¥ê?b
5Signals ?|
u 7?|3 gb?3 gA U
!9? ?μ?|¥ V
6Source ÷óq
u 7÷óq3 g V[÷óq3 g?A U !9? P¨¥÷óqb
7Structure 2
u 723 g?3 g[ VZ TA U
!9? ?μ?¥2 ?B2 V[
°s?l?2¥? b
8Variables M
u 7M 3 g?3 g[ VZ TA U
!9??l¥ ?μM b
9Wave o?
u 7o?3 g? ^á ì_? Hüè31° A¥3 g?A U
{?? {¥o
?b
10Datasets
u 7Datasetí ? ?u 7a1>a×B?DatasetbF P¨¥ H???
C àμ I 1M?? H?F V[ A AWorkspace3 g/ ^? ^ B?ê[ 5b?ê[ 5
A U¥=?DStructure3 gA U¥??M]b
11Coverage -? q
° A_?¥} ?-? qb
12ActiveProcesses ?¥é?
?-?3?¥é?b
13workspace yTu
u 7?-¥yTub
14Encoding I ?
[?]¥I ?° Ab
15Properties
A UyTu?ê?`¥ ??b
4.Compile Ir??
1Compile Ir
üHDL÷óqIr??-y?¥yT o?b
2CompileOptions Irê[
!?VHDL?VerilogIrê[ è? V[ê4Ir H?¨¥?ES?b
3CompileAll ?Ir
Ir?-y??¥ ?μóqb
4CompileSelect Irê?¥óq
Ir?-y??¥ê?óqb
5CompileOrder Ir ¨?
!?Ir ¨?B?"d?? !9VHDL1? 3?Ir ¨???Verilog3
1·?Ir ¨?b
6CompileReport Irá
μ1y??Xêóq¥Iráb
7CompileSummary IrK1
μ1y?? ?μóq¥Iráb
5.Simulate _???
? ú¥Ir#? 7 ? ??VC?ú)?y¥? k H?¥ 7b
1Simulate _?
!9?íb
2SimulationOptions _?ê[
!?_?ê[b
3Run ?
Runns:?_? HWé=é?_?b?1?Mé VSimulationOptions?
!?y £???
Run-All ? ?μ_?é?_?°?¨?T? ?
Continue ????_?
Run-Next ??/B Yq??/B? Yq? 31?
Step ????_?
Step-Over_?à0??2 ?
Restrat×? 7 S_?×?F !9 vi S?_? HW1 ,b
4Break T?
T??-¥_?b
5EndSimulation 2 ?_?
2 ??-_?b
6.Tool y ??
1WaveformCompare o?1?
0???μ 8é?o?1?¥ 7b
2Coverage -? q
? k_?¥} ?-? q ?ì} ?-? q ^·_????-X?¥} ?] ?μ}
?¥1 è?? ^¤í100 zb
3Breakpoints ? !?
?Nê[C? !?? !??b
4ExecuteMacro 3??óq
?ì¥?óqü ^ia¥?''?''i ?[a V[ ?¨? 7 ?×?3?b
5Options ê[
TranscriptFile: !??''óq¥ib
CommandHistory: 7 ? Nb
SaveFile:i?''óqb
SavedLines:K??''óq¥? ?b
LinePrefix: !? ?B?¥ S-b
UpdateRate: !? ?H¥ ??? qb
ModelSimPrompt:?MModelSim¥ 74 U?b
VSIMPrompt:?MVSIM¥ 74 U?b
PausedPrompt:?MPaused¥ 74 U?b
HTMLViewer: !?u 7Lúù¥óqb
6EditPreferences I? ?ê|
!?I? ?b
7SavePreferences i? ?ê|
!?i¨¥? ?b
7.Window 3 g??
1InitialLayout
S?? ?
?ˉ ?μ3 g? S H¥vl?ê?b
2Cascade a?
P ?μu 7¥3 ga?b
3TileHorizontally
£üü?
£üs?àA U ?¨u 7¥3 gb
4TileVertically <°ü?
<°s?àA U ?¨u 7¥3 gb
5LayoutStyle ? ?ì T
Default aì TDInitialLayoutì TM]
Classic ü?ì T?"??5.5?''¥ì T
CascadeDCascadeì TM]
HorizontallyDTileHorizontallyì TM]
VerticallyDTileVerticallyì TM]b
6IconChildren
" ?3 g-?¥ e3 g ê1mSb
7IconAll
| ?μ3 g ê1mSb
8DeiconAll
| ?¨ ê1mS¥3 g?eb
8.Help úù??
1AboutModelSim
A UModelSim¥?''a????b
2ReleaseNotes
A UModelSim¥?''???b
3WelocmeMenu
A U??? ?b
4PDFDocumentation
0??? V[ê4ModelSim¥PDFó?b
SEHTMLDocumentationModelSim¥?ó''ó?b
5TclHelpTclúùó?b
Tcl ^ToolsCommandLanguage¥ ê, ? ^B? V ? ¥ 73 d?y μDC
?y¥¤ g? 7¥? ??¨dè<W?Z ?9μ ê¥ ?!b.
6TclManPagesTcl?: ?b
7Technotes/ ?ó?b
8.2.3y £
ModelSim¥y £?m8-2-2 ? UbVP?·GQ1u 7aˉ?aTLa??÷?
ModelSimaIrê?aIr??a_?aT?_?a×? 7 S_?a_??éa?B?a
???a? ?μa??3?a???¥??3?b
m.PEFM4JN¥y £
8.2.4 ? £
ModelSim¥ ?H?m8-2-3 ? U?Projecta ?1?-y?¥?Nowa ?
1?-_? HWbK·H¥1ê?¥_?2?M b
m.PEFM4JN¥ ? £
8.3VB? è0 7 SDModelSim
- ? ?e1o
μ1ModelSim¥? [#¨?? ?¥??D? V?? $¤
??M A?í?B?á ì ?VB?e?¥ è0DModelSim¥e?¥ P¨bD?
''?F??CModelSim??z¨7O^¨b
?B?á ì P¨¥ è0 ^B?s?è ^¥ !9b ?ìs?è ^ ^|?ú? q¥ Hòs
?¤???? q¥ Hòs?è ^¥ P¨?1<W è?á ì1IB?A U HW¥
è ^ü31B?s?|??¥? qs?¤?1Hz¥ Hò?|bs?μ+?ZE?
?1?5¥s??2s?a4s?a8s?? V[?¨ISE'' &¥ of ? ? LC??
??5¥s?á ì9μ ?ZEB? ^ ?¨9 ?¥Bê ?T1s? {B? ^
9 ?9 ??B ?′ Hs? Hò?|J ? LCs?b ?ZE¥ V[V_?2T
¤?b- ?[ê4?? è0 ^y1? ú è0μ L¨N′iO !9'' &e?_?9?1
e?bz / ?á ì 7 Sá ì¥ !9b
8.3.1m?? ? !9é?_?
I n?D?? ú¥? ??1W%D? V[?v?/?? 7 S
1a?ModelSimZE ^? 7 S->??->ModelSimXEII5.7c->ModelSim
? ? ¥ y?Z T?C?m8-3-1 ? U¥? ??T BQ P¨
ModelSimy ?Vy?? H??1?u 7 BQ ?y ?¥y?
m8-3-1ModelSim 7 S? ?
2a?File->New->Project?C?m8-3-2 ? U¥? ?ProjectName?
á ì {?y ?¥y?31DivClkSimuProjectLocation? {?y?i
¥ ^?1D:/yuProj/modelsim/DivClk?iModelSim??1B?y?1?y
?B?" c? úá ìKz ^1-ProjectLocation? {? ^? ?1y?y
?" cDefaultLibraryName?1á ì¥ !9Ir?''B? o?? úá
ì P¨a′?"á ìIr !9óqaWorkspace3 g¥Library
?ü?Cwork ob? úá ì {??[a?OK
m8.3.2?yy?3 g
3a? Hμ? ?]8-3-3 ? U4 Uá ìó?¥y? ^??i ^?y ??
^?á ì¥"¥ü ^1y?y ?B??" cyN???
m8-3-3?ay ??¥y?" c
4a? H?C?]8-3-4 ? U¥? ? V[??]¥mS ?1y?@F?]¥
["?CreateNewFile V[1y?@F?y¥óq?AddExistingFile
1y?@FXüi¥óq?CreateSimulation1y?@F_??
CreateNewFolder V[1y?@F?¥" cb? úá ì?CreateNewFile
m8-3-41y?@F["
5aC? ??m8-3-5 ? Uá ìFileName? {?DivClkHDLT1óq¥
?Addfileastype1 {?óq¥ ??1VHDLaVerilogaTCLtext?
úá ì P¨a !?VHDLFolder1?y¥óq ?¥ ^?TopLevel1
á ìD? ? !?¥y? ^?/b?OKiAdditemstotheProject3
g?Close1>?3 g
m8-3-51y?@F?óq
6a? H?Workspace3 g?C Projectê[ 5?μDivClkHDL.vhd
? £μB?ù|V U?Irá ì ??óq? H?C3 g
edit-DivClkHDL.vhd¥I3 g?á ì {?á ì¥ !9óq?/
libraryIEEE;
useIEEE.STD_LOGIC_1164.ALL;
useIEEE.STD_LOGIC_ARITH.ALL;
useIEEE.STD_LOGIC_UNSIGNED.ALL;
entitydivclk1is
Port(clk:instd_logic;
divclk:outstd_logic);
enddivclk1;
architectureBehavioralofdivclk1is
signalcounter:std_logic_vector(4downto0):="00000";
signaltempdivclk:std_logic:=''0'';
begin
process(clk)
begin
ifclk''eventandclk=''1''then
if(counter>="11000")then
counter<="00000";
tempdivclk<=nottempdivclk;
else
counter<=counter+''1'';
endif;
endif;
endprocess;
divclk<=tempdivclk;
endBehavioral;
7a?File->Savei|?3 g File->Close
8aWorkSpace3 g¥DivClkHDL.vhd ?·oê4Compile->Compile
All?]8-3-6 ? U
m8-3-6Ir !9?¥óq
9a?''3 g?|CB? s?38CompileofDivClkHDL.vhdwas
successful. a üóqIr???óq¥ ? £aμB s?¥|V U
Ir??
10a/ ?á ì 7 S_????Simulate->Simulate?C?]8-3-7 ? U¥
? ?á ìZ 7Designê[ 5/¥work oiê??¥behavioral? ^
Simulate?C work.divclk1(behavioral)V Uá ì ?1_?¥`
Resolution1_?¥ HWú? úá ì P¨a′?OK
m8-3-7ê4_?`
11a1 43o?3 gá ì???View->Wave
12a? H?C¥Wave3 g1 b ú ? I 1? àμá ì11?3 g@Fá ì
3143¥` n5?3 g7? ^o?3 g??View->Signalsu 7?
| V3 g?m8-3-8 ? U?3 g??Add->Wave->SignalsinDesign
? H?o?3 g?ü V[ A??t?|
m?| V3 g
13a/ ?á ìü 7 S_? ?3 g? {? 7?|é?z? n5á ì1
Hò?| {?z?forceclk00,110000-r20000?force1 7clkV
U1clk?|z?00V U , H Y?′10110000V U10ns)′11
-r20000V UV20ns) 7 S×ˉ repeat V[ Aá ì? ú¥ {? Hò1
50MHz''?ù120ns
14a[ Eé?° Acounter?|o?o?3 g?·o?counter?|?
Radix->Decimal??|¥′ü[ Eé?A U
15a 7 S_??3 g? {?run3usV U?_?3± e? H??TF¥
¥???ü1?+sò HW ? H?F V[ A ACPU¥ ?¨ qB°
1100 _? ^1?]''÷iO[ao?¥?TQ?9? ¤?
T_?? ¤F V[ A A ? £¥?-_? HW ^
16a? H???f ?-o?3 g?A U ?μo?? V[o?
3 g@F ?L? V[??ê? ?Lê??|¥M?)???8
á ì V[o?3 g A??m8-3-9 ? U¥3 g V[ A?s?¤?¥ Hò]
b111 ''B??ù=?11 HW??o?10¥ HWs?a?ù11us
m8-3-9_?o?3 g
17a|_??3 g??Simulate->EndSimulation?C? 4 U
á ì ^??a|_?á ì? ^|_?
18a_?2Ts? úá ì¥ {? Hò150MHz?ù120nsYVs??
¤?? q11MHz?ù11us¥ Hò P¨ H V[??s??
if(counter>="11000")then?¥′#ê z ???s?a¥ Hò? qb !á ì3
1V?ù1T ns¥ Hò¤??ù1X ns¥ ? V[¨?/¥ZE9
?N)?μ¥′((X/T)/2)-1 è?N)á ì1V?ù120ns¥ Hò¤?
?ù11000ns 1us¥ ? ((X/T)/2)-1=((1000/20)/2-1)=24=(11000)Biny
N V[¤?? T?¥′b
8.3.2 P¨ 7?Z T !9é?_?
?Bl? úá ì?1YV?3 g? {? 7? ?é?_?i? ^ ?μ¥?T?
^¨ 7?Z T ? LC¥bá ì ? !9¥ è0 ^ 6?B?s?Z T,'' P¨9 ?¥Bê
T1s?¤?¥ Hòbá ì V[?v?/?? ? LCb
1a?yy?DivClk2Proju 7ModelSim?File->New->Projecty?
? {?DivClk2Projy?i ^?? {?D:/yuProj/modelsim/DivClk2?
OKi ?aC¥? ???? ??ay ??" c
2a ?aC¥AdditemstotheProject3 g??CreatNewFileCCreate
ProjectFile3 gá ì?Browses?á ìDDy ?¥óqCi {?óq
-aFileName ??1D:/yuProj/modelsim/DivClk2/DivClk2HDL
ê4Addfileastype1VHDLFolder1TopLevel?OKi?Additems
totheProject3 g?¥Close ?|1>
3a?3 g? ?Workspace3 g?DivClk2HDL.vhdCI3 g3 g
? {??/} ?
libraryIEEE;
useIEEE.STD_LOGIC_1164.ALL;
useIEEE.STD_LOGIC_ARITH.ALL;
useIEEE.STD_LOGIC_UNSIGNED.ALL;
entitydivclk1is
Port(clk:instd_logic:=''0'';
divclk:outstd_logic);
enddivclk1;
architectureBehavioralofdivclk1is
signalcounter:std_logic_vector(5downto0):="000000";
begin
process(clk)
begin
ifclk''eventandclk=''1''then
if(counter>="110001")then
counter<="000000";
else
counter<=counter+''1'';
endif;
endif;
endprocess;
divclk<=counter(5);
endBehavioral;
4ai?óqiIrZE]8.3.1?óq ?·oê4Compile
->CompileAll;
5a?_??3 g {? 7vsimwork.divclk1?iN)¥divclk1V U
!9?¥ L8
6a[/¥_?]8.3.1?1 Hò?|@Fz? {? 7forceclk00,110000
-r20000|_? Hò !150MHz
7au 7o?3 g {? 7viewwave? H? A? b¥o?3 gXüu 7
8a1o?3 g@F?| {? 7addwave-hex? ú¥V U@F !9?
?μ¥?|-hexV U[ E Bé? ?V Uo?3 g?¥?|′
9a 7 S_? {? 7run3us? H?o?3 g?C_?o???3
gvli@F ?SL¤??m8-3-10 ? U¥o?3 g
m8-3-10_?o?
10a|_? {? 7quit–simb
11a_?2Ts? úá ì¥ {? Hò150MHz?ù120nss?¤?¥ H
ò?ù11us] b1?11b P¨ H V[??s?? if(counter>="110001")
then?¥′#ê z ???s?a¥ Hò? qb !á ì31V?ù1T ns
¥ Hò¤??ù1X ns¥ ? V[¨?/¥ZE9 ?N)?μ¥′
(X/T)-1 è?N)á ì1V?ù120ns¥ Hò¤??ù11000ns 1us¥
? (X/T)-1=(1000/20)-1)=49=(110001)BinyN V[¤?? T?¥′b
8.4 P¨Testbench?TEXTIO !9é?_?
B??á ì P¨ModelSimé? !9_?μ B?¥a M?B?á ì P¨
?1ú)¥_?Z TTestBench?TEXTIO ?é? !9_?bTestBench V[ ?31B?
ü ??ü ?c?_?¥ v 8B? ^TestBench1B?è ^e?è ^e?
c á ì !9¥¨HDL?y í ?¥è ^? vè ^eD?? àμ??¥¤ g????
^_?? ká ì !9è ^á ì V| !9¥è ^?¥ g¥?| í? ?é?_?sb
9? A?? úF? ^?? üa??1? A?a ?B? è0ü?b# bTEXTIO ^VHDL
S oSTD?¥B??? Package ?4 VHDLDH?óq°¤`ù¥B á
ì V[ ?¨ ? ?|?_? ? ?H??¥óqTEXTIO¥ P¨ ^YVTestBench
?é?¥''á ìTestBench? V[?¨TEXTIOé?_?/ ?á ìüo
?¥ P
¨b
8.4.1 P¨TestBench !9é?_?
? úá ì5 AB? è0á ì¥ !9] B?'' !9B?s? v÷} ??8.3.2
? ? ?b? úá ì1IB?TestBench} ??/
LIBRARYieee;
USEieee.std_logic_1164.ALL;
USEieee.numeric_std.ALL;
ENTITYdivclk1_tbIS
ENDdivclk1_tb;
ARCHITECTUREbehaviorOFdivclk1_tbIS
COMPONENTdivclk1
PORT(
clk:INstd_logic;
divclk:OUTstd_logic
);
ENDCOMPONENT;
SIGNALclk:std_logic:=''0'';
SIGNALdivclk:std_logic;
BEGIN
uut:divclk1PORTMAP(
clk=>clk,
divclk=>divclk
);
clk<=notclkafter10ns;
END;
/ ?á 7 SModelSim? P¨TestBench !9é?_?
1au 7ModelSim?yy?TestBenchTest
2a|8.3.2?¥s? v !9óq@F??-y???TF???8 1@FZE
^AdditemstotheProject3 g??AddExistingFiless?8.3.2??¥óq
J??-" c'' V
3a?yTestBenchFileóqi|
?÷} ?@Fé?i?yóq¥ZE ^
File->New->Source->VHDL
4aIróqZE ^???Compile->CompileAll;
5a?T} ?D'' ?B"OModelSim¥License??¥??Ir àμù5/ ?
7 S_?
6a 73 g? {?vsimwork.divclk1_tb?iD8.3.2?]-)?? ú¥_?
`1divclk1_tb ? ^TestBenchFile¥ L8
7a 73 g? {?viewwaveu 7o?óq3 g
8a 73 g? {?addwave-hex|?|@F?o?óq?
9a 73 g? {?run3uso?3 g? V[¤?o?@F ?SLi??vl
V[¤??]8.4.1 ? U¥o?
m8.4.1_?2To?
s
V_?V? V[ ATestBench1o? {?1?@F z?yN_? H?ü?
311?|é?z? bá ì?? è0?i àμ 8? Yfy1á ì¥ !9 {?
oμB? Hò??Tá ì !9B? ? 1ê ?MF¥FE?T I n? ?μ V?¥ {
?B??1 {??? ?P? H?á ì V[ ?¨TestBench ?é? !?á ìa ??
B??"¥ è0b 6?á ì1?i?TestBenchóq?¥ L8D?? àμ??¤ gM ?
yN
ENTITYdivclk1_tbIS
ENDdivclk1_tb;
?l¥ L8? àμ gá ìü ?1_?¥`??B??qTestBench? ? P¨
[ LCTestBenchD_?`-W¥ ó"b
8.4.2TEXTIOo
TEXTIO ^VHDLS oSTD?¥B??? Packageb???l ?? ??
LINE ??aTEXT ??[#SIDE ??B?0 ?? subtypeWIDTHbN????
???l Bt`ùóq ?A?¥V? Procedurebe? í ??/
1. ??¥?l?/
nulltypeLINEisaccessstring;
?l LINE1i| ??¥M
?V U?M
^·_3?1¥·? ? ^TEXTIO
? ?μ?T¥''?íóq H5?? LINEB? ? LINE?T ?
|ò? ? ??¥ ? óq H5|ò?¥ ? ??F??LINE|LINE?ó
qb¨? P¨ HA??ioμM ? V[ ^i| ??¥7?|5?? ^i| ??¥b
è?á ì V[?l
variableDLine:LINE;
????l?
signalDLine:LINE;
nulltypeTEXTisfileofstring;
?l TEXT1ASCIIóq ??b?l?1TEXT ??¥óq ^é VM¥ASCII
óqb è?TEXTIO??l
?S¥ó''óqb
fileinput:TEXTopenread_modeis"STD_INPUT";
fileoutput:TEXTopenwrite_modeis"STD_OUTPUT";
?lz[aü V[YVóq ??M input?output ?`ù?¥óqSTD_INPUT
?STD_OUTPUTb
31?i¥ ^VHDL’87?VHDL’93 P¨óqZ ?μ?v¥μsbIr H?iê
??¥Sb
nulltypeSIDEis(right,left);
?l SIDE ??bV U?l B?1SIDE¥ ? ???o?μ ? ?''
right?leftright?leftV U| ? VPH? ^·H??M b? ???1TEXTIO
??c¥V? Procedure? P¨b
nullsubtypeWIDTHisnatural;
?lWIDTH11? ?¥0 ??b ?ì0 ??V U|′S? ^3 ??¥S?¥0"b
2.V? Procedure¥?l?/
TEXTIO4 ''¥¨?`ùó''óq¥V?b ? ??CVHDL4 ×
??b''??Mí??¥?]¥V? V[μM]¥V??? ? V?]? ? ?
??]? ?? ??]b
TEXTIO4¥''V?μ
nullprocedureREADLINE(óqM ;?M );
¨?V·?óq|B? ? ??M ?b
nullprocedureWRITELINE(óqM ;?M );
¨?_·?óq??M
?c¥ ? b
nullprocedureREAD(?M ; ? ??);
¨?V?M ?|M?¥ ? ??¥ ? b
? ? ? ? ??#? ?? ?¥?]μ?×Z TTEXTIO4 bitabit_vectora
BOOLEANacharacteraintegerarealastringatime ? ??¥×b] H4 RíV
? ^???3?¥BOOLEAN ? ??¥×b è?|? ?¥V?1
procedureREAD(L:inoutLINE;VALUE:outinteger;GOOD:outBOOLEAN);
?GOOD¨?RíV? ^???3????3?5RíTRUEb
nullprocedureWRITE(?M ; ? M ;?Z T;ê z);
?V?| ? ??M b??Z TV U?M ¥PH? ^·HO′o
?1leftrightê zV U? ? H]¥ê zb è?
write(OutLine,OutData,left,2);
V U|M OutData?LINEM OutLine¥PH]2?3?b
8.4.3TEXTIO_??¥?¨
/ ?[B?e?¥8êFE ? a üTEXTIO¥ P¨b {? ? 1 ?8bit¥μ?|
? {19bit¥μ?| ?[]?nbIFE¥ í ?óq H n51 ? ?
é?ê¥ ?Zé?FE ?bI? kóq H1?i? ? D¤?2T-WM
μB? Hò?ùyN31¥2TD9 ?¥2T-W31??B? Hò?ù¥?
?b_????/
1. 3? {?#??2Tóq¥C??
á ì V[ P¨VCaMatlab?ú)èqy I 3? {????2Tóq¥??
?? !? {?18êμ?? ?yNS?1[-127,127]bC???/
#include"iostream.h"
#include"fstream.h"
voidmain(void)
{
inti,j;
ofstreamfsIn("d:\\yuproj\\modelsim\\TextioTest\\TestData.dat");
ofstreamfsOut("d:\\yuproj\\modelsim\\TextioTest\\Result.dat");
for(i=-127;i<128;i++)
{
for(j=-127;j<128;j++)
{
fsIn< fsOut< }
}
fsIn.close();
fsOut.close();
}
??? P¨ C ? oiostream.h?fstream.hb?1 P¨ o<{??b
? V[? I?¥C ?!b???? V[??¥" c/ 3?TestData.dat?
Result.dat ?ó''ì T¥óqb?iB? {?? ? H-W[ bì? 7'' Vb
2.?yModelSimy?
u 7ModelSim?yy?Textioib" c1d:\yuproj\modelsim\TextioTest
3.@F !9÷óq
?y !9óqNineBitsAdder?yóq¥ZE ^File->New->Source->VHDL÷} ?
?/
libraryieee;
useieee.std_logic_1164.all;
useieee.std_logic_signed.all;
entityAdd2Inis
port(D1:instd_logic_vector(7downto0);
D2:instd_logic_vector(7downto0);
Q:outstd_logic_vector(8downto0);
Clk:instd_logic);
endAdd2In;
architectureA_Add2InofAdd2Inis
begin
process(Clk)
begin
ifClk=''1''andClk''eventthen
Q<=(D1(D1''left)&D1)+(D2(D2''left)&D2);
endif;
endprocess;
endA_Add2In;
é?FE- n5é?ê¥ ?Zé?FE ?b Hò¥
6??FE ?b
4.@F? kóq
?yTestBenchóqTestBench.vhd?yóq¥ZE ^File->New->Source->VHDL
} ??/
libraryieee;
useieee.std_logic_1164.all;
useieee.std_logic_signed.all;
useieee.std_logic_arith.all;
usestd.TEXTIO.all;
entitytbis
endtb;
architecturea_tboftbis
componentAdd2In
port(D1:instd_logic_vector(7downto0);
D2:instd_logic_vector(7downto0);
Q:outstd_logic_vector(8downto0);
Clk:instd_logic);
endcomponent;
signalD1:std_logic_vector(7downto0):=(others=>''0'');
signalD2:std_logic_vector(7downto0):=(others=>''0'');
signalQ:std_logic_vector(8downto0);
signalClk:std_logic:=''0'';
signalDlatch:boolean:=false;
signalSResult:integer;
begin
dut:Add2In
portmap(D1=>D1,
D2=>D2,
Q=>Q,
Clk=>Clk);
Clk<=notClkafter20ns;
process
fileInputD:textopenread_modeis"TestData.dat";
variableDLine:LINE;
variablegood:Boolean;
variableData1:integer;
variableData2:integer;
begin
waituntilClk=''1''andClk''event;
readline(InputD,DLine);
read(DLine,Data1,good);
read(DLine,Data2,good);
if(good)then
D1<=CONV_STD_LOGIC_VECTOR(Data1,8);
D2<=CONV_STD_LOGIC_VECTOR(Data2,8);
else
assertfalsereport"EndofReadingInputFile!"
severityerror;
endif;
endprocess;
process
fileInputR:textopenread_modeis"Result.dat";
variableRLine:LINE;
variableResult:integer;
begin
waituntilClk=''1''andClk''event;
Dlatch<=true;
ifDlatchthen
readline(InputR,RLine);
read(RLine,Result);
SResult<=Result;
ifSResult/=Qthen
assertfalsereport"Twovaluesaredifferent"
severitywarning;
endif;
endif;
endprocess;
enda_tb;
5.Ir
/ ? 7 S_?5Ir ?μóqZE ^???Compile->CompileAll;
6.
!9
73 g? {?vsimwork.tb
7.u 7o?3 g
73 g? {?viewwaveu 7o?óq3 g
8.@F?|?o?3 g?
73 g? {?addwave-dec|?|@F?o?óq?
9.?_?
73 g? {?run–all? 7| P_?B°?/?
10._?2T
_?2 ?a?? ?3 g?|? A??/?
#Fatal:(vsim-3551)TEXTIO:Readpastendoffile"TestData.dat".
#Time:2601020nsIteration:0Process:/tb/line__34File:
D:/yuProj/modelsim/TextioTest/TestBench.vhd
#FatalerroratD:/yuProj/modelsim/TextioTest/TestBench.vhdline41
#
V U?TestData.datay bCpb? àμCá ì??? ? !?¥
warningbV UFE¥_?2TDú)èq¤?¥??2TM??bá ì V[?é??
?F?ENDFILE f ? ?? ^?|?óq¥2á_?2T?m8-4-2 ? U
m8-4-2_?2To?
11.2 ?_?
{? 7quit–sim2 ?_?b
12.?? ? óq
|VC 3?¥óqResult.datu 7|óq?¥?100?¥1551100i?óq
13._?
] ModelSim?3 g? {??/ 7
vsimwork.tb
viewwave
addwave-dec
run-all
14._?2T
??8??3 g?A U?/Tá?á ì ??¥Tá? V[ A?_
?2TDResult.dat?¥??2T?Bá¥1Zb_?m??m8-4-2 ? Ub
m8-4-2TáC)¥_?2To?
#Warning:Twovaluesaredifferent
#Time:4060nsIteration:0Instance:/tb
#Fatal:(vsim-3551)TEXTIO:Readpastendoffile"TestData.dat".
#Time:2601020nsIteration:0Process:/tb/line__34File:
D:/yuProj/modelsim/TextioTest/TestBench.vhd
#FatalerroratD:/yuProj/modelsim/TextioTest/TestBench.vhdline41
#
15.|_?
{? 7quit–sim
_?s
???? n5 {?óq¥B?=?V???4| ?′ {?FEb
V??2Tóq?4|B?′|FE9 ?2TD?′1?? ??]5 {Tá
?bá ì9 V[| {?B?ó''óq1? ?ó''óq¥s][?p1Zb
? ú1?i¥ ^1 P¨TEXTIO?? 6?? kóq¥ L8=¥ g1 bM??B
v? ?¥è ^e P¨Component?c
??l¥FE?? ?¥è ^e ??
?¥?? ^ !9¥FEé?? kb???? P¨ asserty? 1?i??
a¥Vr TM 1? H?3?a?¥ {1L H3?a?¥ {b????? P
¨ ??Df ?CONV_STD_LOGIC_VECTOR |? ?D18ê¥S ??b 6?
????l M Dlatch?M ¥T¨ ^|9 ?2TD??2T¥1?ütB? Hò
?ù?ù1D??2T1?b
8.5ModelSim¥¥?
B?¥_???TFIr HC?/p
Error:D:/yuProj/modelsim/TextioTest/TestBench.vhd(34):Unknown
identifier:read_mode
Error:D:/yuProj/modelsim/TextioTest/TestBench.vhd(34):FILE
declarationusing1076-1993syntax.Recompileusing-93switch.
Error:D:/yuProj/modelsim/TextioTest/TestBench.vhd(34):VHDLCompiler
exiting
9?F? àμB?÷EF V??ùá ü ü ^v" ?'' ¥ è?1 I 1?C?
tp;$ Lo3?B? ?S?B/ModelSim¥¥?ü V[ bWorkspace3 g
?á ìp¥óqTestBench.vhd ?·oê4Properties?C?m8-5-1 ? U
¥3 g? úá ì V[ A?ModelSim¥" c-W¥sé?1o/p7DOS/" c¥sé
?1o\pμ??]á ì?VHDLê[ 5? A??m8-5-2 ? U¥? ?? ú1o
¥1Z ^Use1993LanguageSyntax-u V Uá ì¥ !9Ir H??vVHDL’93
¥?ESu -aF V[IrB/?Q?? àμù5 ?bN?m8-5-2
?? V[ !? I 1f ?/CTá??ê[b
m8-5-1y?Ir !?
m8-5-2VHDLZ ?¥y?Ir !?
_? H ^? ^?:¤_? H?μBta¥ê[?_?¥ HW?ê ?Q??
_?¥ HW??? úá ì V[é???b???Simulate->SimulationOptions
á ì V[ A??m8-5-3 ? U¥? ?DefaultRadix/1@F?|?o?óq?a¥
?|ì T?SymbolicV U?|?BinaryV U[=é?A UOctalV U[?é?V U
DecimalV U[ Eé?V UUnsignedV U[í?? ?V UHexadecimalV U[ E Bé?
V UASCIIV U[ASIC ? ?V U?|¥′bDefaultRun ?¥′V Ua¥??
? HWbIterationLimitV UB? HW=?ìKv¥Q ?[E ?íK?ì"díE? sb
m8-5-3_?ê[ !?
?m8-5-3?¥Assertionsê[ 5 V[ A??m8-5-4 ? U¥3 g? V[
_?????f ???i V[ !?- {Btf ?b?t !?μ ??á ì? ks?]¥
f ?b
m8-5-4_??¥y !?
L
?¥ !??iModelSim? " c/¥modelsim.inióq?á ì¨: Y''
V[° A?óq¥=??óq??1×1¥ ^[Library]a[Vcom][#[Vsim]b
[Library]a ? ^ò? o¥3#ib" c?/
[Library]
std=$MODEL_TECH/../std
ieee=$MODEL_TECH/../ieee
verilog=$MODEL_TECH/../verilog
vital2000=$MODEL_TECH/../vital2000
std_developerskit=$MODEL_TECH/../std_developerskit
synopsys=$MODEL_TECH/../synopsys
modelsim_lib=$MODEL_TECH/../modelsim_lib
;VHDLSection
unisim=$MODEL_TECH/../xilinx/vhdl/unisim
simprim=$MODEL_TECH/../xilinx/vhdl/simprim
xilinxcorelib=$MODEL_TECH/../xilinx/vhdl/xilinxcorelib
aim=$MODEL_TECH/../xilinx/vhdl/aim
pls=$MODEL_TECH/../xilinx/vhdl/pls
cpld=$MODEL_TECH/../xilinx/vhdl/cpld
;VerilogSection
unisims_ver=$MODEL_TECH/../xilinx/verilog/unisims_ver
uni9000_ver=$MODEL_TECH/../xilinx/verilog/uni9000_ver
simprims_ver=$MODEL_TECH/../xilinx/verilog/simprims_ver
xilinxcorelib_ver=$MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver
aim_ver=$MODEL_TECH/../xilinx/verilog/aim_ver
cpld_ver=$MODEL_TECH/../xilinx/verilog/cpld_ver
[vcom]a ?μBtIr H¥ê[ !?′1 ,V U1OFF11V UON è?
VHDL93=1V UIr H?vVHDL93SShow_source=1V UIrp H ^?|p¥
B?A U ?á ì V[? ? d ? 3cl??s=??/
[vcom]
;TurnonVHDL-1993asthedefault.Normallyisoff.
VHDL93=1
;Showsourcelinecontainingerror.Defaultisoff.
;Show_source=1
;Turnoffunbound-componentwarnings.Defaultison.
;Show_Warning1=0
;Turnoffprocess-without-a-wait-statementwarnings.Defaultison.
;Show_Warning2=0
;Turnoffnull-rangewarnings.Defaultison.
;Show_Warning3=0
;Turnoffno-space-in-time-literalwarnings.Defaultison.
;Show_Warning4=0
;Turnoffmultiple-drivers-on-unresolved-signalwarnings.Defaultison.
;Show_Warning5=0
;TurnoffoptimizationforIEEEstd_logic_1164package.Defaultison.
;Optimize_1164=0
;Turnonresolvingofambiguousfunctionoverloadinginfavorofthe
;"explicit"functiondeclaration(nottheoneautomaticallycreatedby
;thecompilerforeachtypedeclaration).Defaultisoff.
Explicit=1
;TurnoffVITALcompliancechecking.Defaultischeckingon.
;NoVitalCheck=1
;IgnoreVITALcompliancecheckingerrors.Defaultistonotignore.
;IgnoreVitalErrors=1
;TurnoffVITALcompliancecheckingwarnings.Defaultistoshowwarnings.
;Show_VitalChecksWarnings=false
;Turnoff"loading..."messages.Defaultismessageson.
;Quiet=1
;Turnonsomelimitedsynthesisrulecompliancechecking.Checksonly:
;--signalsused(read)byaprocessmustbeinthesensitivitylist
;CheckSynthesis=1
[Vsim]a ^Bt_?¥ê[ è?Resolution=psV U_?Kl¥sO q11ps
UserTimeUnit=defaultV UsO q¥?êdefaultV Ua¥?ê7a¥?ê1sO q
? P¨¥?êRunLength=100V U3?BQRun ?_?¥ HWá ì V[? óq?¥
a ü ?scl??s=??/
[vsim]
;Simulatorresolution
;Settofs,ps,ns,us,ms,orsecwithoptionalprefixof1,10,or100.
Resolution=ps
;Usertimeunitforruncommands
;Settodefault,fs,ps,ns,us,ms,orsec.Thedefaultistousethe
;unitspecifiedforResolution.Forexample,ifResolutionis100ps,
;thenUserTimeUnitdefaultstops.
UserTimeUnit=default
;Defaultrunlength
RunLength=100
;Maximumiterationsthatcanberunwithoutadvancingsimulationtime
IterationLimit=5000
;Directivetolicensemanager:
;vhdlImmediatelyreserveaVHDLlicense
;vlogImmediatelyreserveaVeriloglicense
;plusImmediatelyreserveaVHDLandVeriloglicense
;nomgcDonotlookforMentorGraphicsLicenses
;nomtiDonotlookforModelTechnologyLicenses
;noqueueDonotwaitinthelicensequeuewhenalicenseisn''t
available
;License=plus
;Stopthesimulatorafteranassertionmessage
;0=Note1=Warning2=Error3=Failure4=Fatal
BreakOnAssertion=3
;AssertionMessageFormat
;%S-SeverityLevel
;%R-ReportMessage
;%T-Timeofassertion
;%D-Delta
;%I-InstanceorRegionpathname(ifavailable)
;%%-print''%''character
;AssertionFormat="%S:%R\nTimf:%TIteration:%D%I\n"
;AssertionFile-alternatefileforstoringassertionmessages
;AssertFile=assert.log
;Defaultradixforallwindowsandcommands...
;Settosymbolic,ascii,binary,octal,decimal,hex,unsigned
DefaultRadix=symbolic
;VSIMStartupcommand
;Startup=dostartup.do
;Fileforsavingcommandtranscript
TranscriptFile=transcript
;Fileforsavingcommandhistory
;CommandHistory=cmdhist.log
;Specifywhetherpathsinsimulatorcommandsshouldbedescribed
;inVHDLorVerilogformat.ForVHDL,PathSeparator=/
;forVerilog,PathSeparator=.
PathSeparator=/
;Specifythedatasetseparatorforfullyrootedcontexts.
;Thedefaultis'':''.Forexample,sim:/top
;MustnotbethesamecharacterasPathSeparator.
DatasetSeparator=:
;Disableassertionmessages
;IgnoreNote=1
;IgnoreWarning=1
;IgnoreError=1
;IgnoreFailure=1
;Defaultforcekind.Maybefreeze,drive,ordeposit
;orinotherterms,fixed,wiredorcharged.
;DefaultForceKind=freeze
;Ifzero,openfileswhenelaborated
;elseopenfilesonfirstreadorwrite
;DelayFileOpen=0
;ControlVHDLfilesopenedforwrite
;0=Buffered,1=Unbuffered
UnbufferedOutput=0
;ControlnumberofVHDLfilesopenconcurrently
;Thisnumbershouldalwaysbelessthenthe
;currentulimitsettingformaxfiledescriptors
;0=unlimited
ConcurrentFileLimit=40
;Thiscontrolsthenumberofhierarchicalregionsdisplayedas
;partofasignalnameshowninthewaveformwindow.Thedefault
;valueoravalueofzerotellsVSIMtodisplaythefullname.
;WaveSignalNameWidth=0
;Turnoffwarningsfromthestd_logic_arith,std_logic_unsigned
;andstd_logic_signedpackages.
;StdArithNoWarnings=1
;TurnoffwarningsfromtheIEEEnumeric_stdandnumeric_bit
;packages.
;NumericStdNoWarnings=1
;Controltheformatofageneratestatementlabel.Don''tquoteit.
;GenerateFormat=%s__%d
;Specifywhethercheckpointfilesshouldbecompressed.
;Thedefaultistobecompressed.
;CheckpointCompressMode=0
;ListofdynamicallyloadedobjectsforVerilogPLIapplications
;Veriuser=veriuser.sl
8.6l2
''c[e?¥ è0? ? ModelSim¥_? @?[# P¨Testbench?Textio !9
é?_?¥ @?b a?D?? ê?1 ''?¥?? V[?èq¥¨? m¨b
|