分享

创维2.8液晶屏驱动程序(二)(HX8347)

 独孤琅嬛 2014-01-16


#include <reg51.h>
sbit RST = P3^4;
sbit DC0 = P3^3; 
sbit WR0 = P3^1; 
sbit RD0 = P3^0;   
sbit CS0 = P3^5;


typedef unsigned char uint8;                   // unsigned 8bit  integer                       
typedef signed   char  int8;                   // signed   8bit  integer                                          
typedef unsigned int  uint16;                  // unsigned 16bit integer                       
typedef signed   int   int16;                  // signed   16bit integer
typedef unsigned long  uint32;                 // unsigned 32bit integer                     
typedef signed   long  int32;                  // signed   32bit integer                     
typedef float          fp32;                   // 32bit float(single)


#define ROW   320
#define COL   240
                  
unsigned char code pic1[];
unsigned char Graphic16[]={0x00,0XFF,0x00,0Xff,0x00,0Xff,0x00,0Xff,0x00,0Xff,0x00,0xff,0x00,0Xff,0x00,0Xff,0XFF,0x00,0XFf,0x00,0XFf,0x00,0XFf,0x00,0Xff,0x00,0Xff,0x00,0Xff,0x00,0Xff,0x00};
uint8  code  R_Bar_L[];
uint8  code  R_Bar_R[];
uint8  code  G_Bar_L[];
uint8  code  G_Bar_R[];
uint8  code  B_Bar_L[];
uint8  code  B_Bar_R[];

void delay(long tt);
void display_red(void);
void display_green(void);
void display_blue(void);
void display_black(void);
void display_white(void);
void display_colorbar(void);
void display_image1(void);
void display_image2(void);
void  DispColorX(uint16 row,col,uint8 rgbA1,rgbA2,rgbB1,rgbB2,rgbC1,rgbC2,rgbD1,rgbD2,rgbE1,rgbE2,rgbF1,rgbF2,rgbG1,rgbG2,rgbH1,rgbH2);
void  FillGrayRGB(uint16 row,  uint16 col,uint8 code *graphic_R1,uint8 code *graphic_R2,uint8 code *graphic_G1,uint8 code *graphic_G2,uint8 code *graphic_B1,uint8 code *graphic_B2);
void  DispSingleColorLine(uint16 col,uint8 code *graphic);
void sleep_in(void);
void sleep_out(void);

void display_grayscale(void);

void data_out(unsigned char i,unsigned char j)
{

    //8080 interface
        CS0 = 0;
 DC0 = 1;
 RD0 = 1;
 
 WR0 = 0;
 P0  = i;
 P1  = j;
 WR0 = 1;
 CS0 = 1;
 

}

 


void comm_out(unsigned char i,unsigned char j)
{

 //8080 interface
        CS0 = 0; 
 RD0 = 1;
        DC0 = 0;
      
 WR0 = 0;
 P0  = i; 
 P1  = j;
 WR0 = 1;
 CS0 = 1;
 
}

 

void data_in()
{

    //8080 interface
    int temp1,temp2;
   comm_out(0x00,0x67);
  
        P1 = 0XFF;
        P0 = 0XFF;
        CS0 = 0;
        WR0 = 1;
        DC0 = 1;
        RD0 = 0;
        delay(200);
        temp1= P0;
        temp2=P1;
        temp1=temp1<<8;
        temp2=temp1|temp2;
        //CS0 = 0;
 //DC0 = 1;
 //RD0 = 1;
 if(temp2==0x0047)
 {
  display_white();
 }
 
 RD0 = 1;
 CS0 = 1;

}

 


void sleep_in()
{
 comm_out(0x00,0x26);
 data_out(0x00,0x38);
 
 comm_out(0x00,0x26);
 data_out(0x00,0x28);
 
 comm_out(0x00,0x26);
 data_out(0x00,0x00);
 
 comm_out(0x00,0x43);
 data_out(0x00,0x00);
 
 comm_out(0x00,0x1b);
 data_out(0x00,0x00);
 delay(100);
 
 comm_out(0x00,0x1b);
 data_out(0x00,0x08);
 delay(100);
 
 comm_out(0x00,0x1c);
 data_out(0x00,0x00);
 delay(100);
 
 comm_out(0x00,0x90);
 data_out(0x00,0x00);
 delay(100);
 
 comm_out(0x00,0x1b);
 data_out(0x00,0x09);
 delay(100);
 
 comm_out(0x00,0x19);
 data_out(0x00,0x48);
 }


void sleep_out()
{
 comm_out(0x00,0x19);
 data_out(0x00,0x49);
 delay(100);
 
 comm_out(0x00,0x1b);
 data_out(0x00,0x08);
 
 comm_out(0x00,0x20);
 data_out(0x00,0x40);
 
 comm_out(0x00,0x1D);
// data_out(0x00,0x07);
 data_out(0x00,0x04);

 comm_out(0x00,0x1e);
 data_out(0x00,0x00);

 comm_out(0x00,0x1f);
 //data_out(0x00,0x04);
 data_out(0x00,0x04);
 
 comm_out(0x00,0x44);
 data_out(0x00,0x40);

 comm_out(0x00,0x45);
 data_out(0x00,0x12);
 delay(100);
 
 comm_out(0x00,0x1c);
 data_out(0x00,0x04);
 delay(20);

 comm_out(0x00,0x1b);
 data_out(0x00,0x18);
 delay(20);
 
  comm_out(0x00,0x1b);
 data_out(0x00,0x14);
 delay(20);

 comm_out(0x00,0x43);
 data_out(0x00,0x80);
 delay(100);
 
 
 comm_out(0x00,0x90);
 data_out(0x00,0x7f);

 comm_out(0x00,0x26);
 data_out(0x00,0x04);
 delay(200);

 comm_out(0x00,0x26);
 data_out(0x00,0x24);
  delay(200);

 comm_out(0x00,0x26);
 data_out(0x00,0x2c);
 delay(200);

  comm_out(0x00,0x26);
 data_out(0x00,0x3c);
  delay(200);
}


void fillGraphic_16(unsigned char row,unsigned char col,
                    unsigned char  *Graphic,
                    unsigned char F_RGB1,unsigned char F_RGB2,
                    unsigned char B_RGB1,unsigned char B_RGB2,
                    unsigned char S_RGB1,unsigned char S_RGB2
                   )
{
        unsigned char n, i,j,k;
        unsigned char tempGraphic;
       
       
     //comm_out(0x21);
     //data_out(0x00);
     //data_out(0x00);
    
     for(n=0;n<row/16;n++)
        {
            for(i=0;i<16;i++)
            {

           for(j=0;j<col/16;j++)
              {
                 tempGraphic = Graphic[i*2];
                 for(k=0;k<8;k++)
              {
                 if(((tempGraphic)&(0x80))!= 0)
               {
                    data_out(F_RGB1,F_RGB2);
                  

                  }else
                  {
                    data_out(B_RGB1,B_RGB2);
          
               }
                tempGraphic = tempGraphic << 1;
               }

     tempGraphic = Graphic[i*2+1];
     for(k=0;k<8;k++)
              {
                 if(((tempGraphic)&(0x80))!= 0)
               {
                    data_out(F_RGB1,F_RGB2);
               

                  }else
                  {
                    data_out(B_RGB1,B_RGB2);
                   
               }
               tempGraphic = tempGraphic << 1;
               }
               }
             }
         }
        
       
      
      
  for(i=0;i<row%16;i++)
  {
        for(j=0;j<col;j++)
     {
           comm_out(0x00,0x3c);
           data_out(S_RGB1,S_RGB2); 
   
        }
  }
   

}

 

void DispColorX(uint16 row,col,uint8 rgbA1,rgbA2,rgbB1,rgbB2,rgbC1,rgbC2,rgbD1,rgbD2,rgbE1,rgbE2,rgbF1,rgbF2,rgbG1,rgbG2,rgbH1,rgbH2)
{
       
    uint16 i,j,k;  
    k = row /8;
  
 //SetRamAddress();
 comm_out(0x00,0x3c);
   
    for(i=0;i<k;i++)
 {
  for(j=0;j<col;j++)
  {
   data_out(rgbA1,rgbA2);
  }
 }

 for(i=0;i<k;i++)
 {
  for(j=0;j<col;j++)
  {
   data_out(rgbB1,rgbB2);
  }
 }
 
 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbC1,rgbC2);
       }
 }

 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbD1,rgbD2);
       }
 }
 
 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbE1,rgbE2);
       }
 }
 
 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbF1,rgbF2);
       }
 }
 
 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbG1,rgbG2);
       }
 }

 for(i=0;i<k;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbH1,rgbH2);
       }
 }
 
 //
 for(i=0;i<row%8;i++)
 {
       for(j=0;j<col;j++)
    {
   data_out(rgbH1,rgbH2);
       }
 }
 
 

}


void FillGrayRGB(uint16 row,  uint16 col,uint8 code *graphic_R1,uint8 code *graphic_R2,uint8 code *graphic_G1,uint8 code *graphic_G2,uint8 code *graphic_B1,uint8 code *graphic_B2)
{
    uint16 i,k;  
    k = row /6;
   
 //SetRamAddress();
 comm_out(0x00,0x3c);
    for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_R1);
 }
 
 for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_R2);
 }
 
 for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_G1);
 }

 for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_G2);

 }
 
 for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_B1);
 }
 
 for(i=0;i<k;i++)
 {
  DispSingleColorLine(col,graphic_B2);
 }

 //
 for(i=0;i<row%6;i++)
 {
  DispSingleColorLine(col,graphic_B2);
 }

}

void DispSingleColorLine(uint16 col,uint8 code *graphic)
{
    uint16 i;

    for(i=0;i<col;i++)
    {
     data_out(*(graphic++),*(graphic++));
 }

}

 

 

void Switch()
{
 repeat:
   if (P2&0x10) goto repeat;
   else delay(1);
  if (P2&0x10) goto repeat;
   else ;
 }


void main(void)
{
   
 
   

RST=0;
delay(200);
RST=1;
delay(200);
RST=0;
delay(200);
RST=1;
delay(200);
    
      //ILI9481 for PVI3.5 320*480


comm_out(0x00,0x11);
delay(50);


//POWER SEQUENCE
comm_out(0x00,0xD0);
data_out(0x00,0x07);
data_out(0x00,0x41);
data_out(0x00,0x1D);

comm_out(0x00,0xD1);
data_out(0x00,0x00);
data_out(0x00,0x2B);
data_out(0x00,0x1F);

comm_out(0x00,0xC0);
data_out(0x00,0x00);
data_out(0x00,0x3B);
data_out(0x00,0x00);
data_out(0x00,0x02);
data_out(0x00,0x11);
data_out(0x00,0x00);

comm_out(0x00,0xC5);
data_out(0x00,0x03);

comm_out(0x00,0xC8);
data_out(0x00,0x00);
data_out(0x00,0x14);
data_out(0x00,0x33);
data_out(0x00,0x10);
data_out(0x00,0x00);
data_out(0x00,0x16);
data_out(0x00,0x44);
data_out(0x00,0x36);
data_out(0x00,0x77);
data_out(0x00,0x00);
data_out(0x00,0x0F);
data_out(0x00,0x00);

comm_out(0x00,0xF3);
data_out(0x00,0x40);
data_out(0x00,0x0A);

comm_out(0x00,0xF0);
data_out(0x00,0x08);//104d
delay(50);

comm_out(0x00,0xF6);
data_out(0x00,0x84);//0010

delay(50);

comm_out(0x00,0xF7);
data_out(0x00,0x80);//2620

comm_out(0x00,0x36);
data_out(0x00,0x0A);//304d  3542
delay(50);

comm_out(0x00,0x29);

 


while(1)

{
 
    
delay(5000);
display_red();
Switch();
data_in();
Switch();

DispColorX(ROW,COL,0xf8,0x00,0xf8,0x00,0x07,0xe0,0x07,0xe0,0x00,0x1f,0x00,0x1f,0xff,0xff,0xff,0xff);
Switch();
delay(5000);
display_black();
delay(5000);
Switch();
//display_white();
display_green();
Switch();
delay(5000);
FillGrayRGB(ROW,COL,R_Bar_L,R_Bar_R,G_Bar_L,G_Bar_R,B_Bar_L,B_Bar_R);
Switch();
delay(5000);
display_blue();
Switch();
display_image1();
Switch();
delay(5000);
sleep_in();
Switch();
delay(5000);
sleep_out();
Switch();

 

}
 

 

}

 


void display_grayscale(void)

     int i,j,m=0;
    comm_out(0x00,0x3c);
      for(i=0;i<320;i++)   
   
   { 
   for(j=0;j<240;j++)
  {
     m=i/32;        
            switch(m)   
  {
   case 0:  data_out(0x00,0x00);
    break;
    case 1:  data_out(0x08,0x41);
    break;
    case 2:  data_out(0x08,0x61);
    break;
    case 3:  data_out(0x10,0x82);
    break;
    case 4:  data_out(0x10,0xa2);
    break;
      case 5:  data_out(0x31,0x86);
    break;
    case 6:  data_out(0x63,0x2c);
    break;
    case 7:  data_out(0x9c,0xd3);
    break;
    case 8:  data_out(0xce,0x79);
    break;
    case 9:  data_out(0xff,0xff);
    break;
    case 10:  data_out(0x52,0x8a);
    break;
    case 11:  data_out(0x5a,0xcb);
    break;
    case 12:  data_out(0x63,0x2c);
    break;
    case 13:  data_out(0x6b,0x6d);
    break;
    case 14:  data_out(0x73,0xae);
    break;
    case 15:  data_out(0x7b,0xef);
    break;
    case 16:  data_out(0x8c,0x51);
    break;
    case 17:  data_out(0x8c,0x71);
    break;   
    case 18:  data_out(0x94,0xb2);
    break;
    case 19:  data_out(0xa5,0x14);
    break;
    case 20:  data_out(0xad,0x55);
    break;
    case 21:  data_out(0xb5,0x96);
    break;
    case 22:  data_out(0xbd,0xd7);
    break;
    case 23:  data_out(0xc6,0x18);
    break;
    case 24:  data_out(0xce,0x59);
    break;
    case 25:  data_out(0xd6,0xba);
    break;
    case 26:  data_out(0xde,0xfb);
    break;
    case 27:  data_out(0xe7,0x3c);
    break;
    case 28:  data_out(0xef,0x5d);
    break;
    case 29:  data_out(0xf7,0xbe);
    break;
    case 30:  data_out(0xff,0xff);
    break;
    case 31:  data_out(0xff,0xff);
    break;
  
  }
  
                }
}}

 

void display_red(void)

     int i,j;
    comm_out(0x00,0x3c);
    for(i=0;i<320;i++)
   {
          for(j=0;j<480;j++)
      {
    data_out(0xf8,0x00);
      }

          }
}

 

void display_green(void)

     int i,j;
    comm_out(0x00,0x3c);
    for(i=0;i<320;i++)
   {
          for(j=0;j<240;j++)
      {
    data_out(0x84,0x10);
      }

          }
}

 

void display_blue(void)

     int i,j;
    comm_out(0x00,0x3c);
    for(i=0;i<320;i++)
   {
          for(j=0;j<240;j++)
      {
    data_out(0x00,0x1f);
      }

          }
}


void display_black(void)

     int i,j;
    comm_out(0x00,0x3c);
    for(i=0;i<320;i++)
   {
          for(j=0;j<240;j++)
      {
    data_out(0x00,0x00);
      }

          }
}


void display_white(void)

     int i,j;
    comm_out(0x00,0x3c);
    for(i=0;i<320;i++)
   {
          for(j=0;j<240;j++)
      {
    data_out(0xff,0xff);
      }

          }
}

 

void display_colorbar(void)

     int i;
   comm_out(0x00,0x3c);
    for(i=0;i<128*32;i++)
      {
      data_out(0xf8,0x00);
  
           }
  for(i=0;i<128*32;i++)
      {
      data_out(0x07,0xe0);
  
           }
  for(i=0;i<128*32;i++)
      {
   data_out(0x00,0x1f);
   
           }
  for(i=0;i<128*32;i++)
      {
   data_out(0xff,0xff);
  
           }

}


void display_image1(void)
{
unsigned int i,j,t;
  
  comm_out(0x00,0x3c);
   for(t=0;t<4;t++)
   {unsigned int  k=0;
    for(i=0;i<240;i++)
    for(j=0;j<80;j++)
     {
      data_out(pic1[k++],pic1[k++]);
 
     }
   }
 }

 

void delay(long tt)
{while(tt>0)
    {tt--;}

}

 


uint8  code  R_Bar_L[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x08,0x00,0x08,0x00,0x08,0x00,
0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x10,0x00,
0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,
0x10,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,
0x18,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,
0x20,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,
0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,
0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x40,0x00,
0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x48,0x00,0x48,0x00,0x48,0x00,
0x48,0x00,0x48,0x00,0x48,0x00,0x48,0x00,0x50,0x00,0x50,0x00,0x50,0x00,0x50,0x00,
0x50,0x00,0x50,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,
0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x68,0x00,
0x68,0x00,0x68,0x00,0x68,0x00,0x68,0x00,0x68,0x00,0x70,0x00,0x70,0x00,0x70,0x00,
0x70,0x00,0x70,0x00,0x70,0x00,0x78,0x00,0x78,0x00,0x78,0x00,0x78,0x00,0x78,0x00,
0x78,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x88,0x00,0x88,0x00,
0x88,0x00,0x88,0x00,0x88,0x00,0x88,0x00,0x88,0x00,0x90,0x00,0x90,0x00,0x90,0x00,
0x90,0x00,0x90,0x00,0x90,0x00,0x98,0x00,0x98,0x00,0x98,0x00,0x98,0x00,0x98,0x00,
0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa8,0x00,
0xa8,0x00,0xa8,0x00,0xa8,0x00,0xa8,0x00,0xa8,0x00,0xb0,0x00,0xb0,0x00,0xb0,0x00,
0xb0,0x00,0xb0,0x00,0xb0,0x00,0xb0,0x00,0xb8,0x00,0xb8,0x00,0xb8,0x00,0xb8,0x00,
0xb8,0x00,0xb8,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,
0xc0,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,
0xc8,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,
0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xe0,0x00,
0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,
0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,
0xe8,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,
0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,
0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00

};

uint8  code  R_Bar_R[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,
0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf8,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,
0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xf0,0x00,0xe8,0x00,
0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,0xe8,0x00,
0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,
0xe0,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,0xd8,0x00,
0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xd0,0x00,0xc8,0x00,
0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc8,0x00,0xc0,0x00,
0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xb8,0x00,0xb8,0x00,
0xb8,0x00,0xb8,0x00,0xb8,0x00,0xb8,0x00,0xb0,0x00,0xb0,0x00,0xb0,0x00,0xb0,0x00,
0xb0,0x00,0xb0,0x00,0xb0,0x00,0xa8,0x00,0xa8,0x00,0xa8,0x00,0xa8,0x00,0xa8,0x00,
0xa8,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,
0x98,0x00,0x98,0x00,0x98,0x00,0x98,0x00,0x98,0x00,0x90,0x00,0x90,0x00,0x90,0x00,
0x90,0x00,0x90,0x00,0x90,0x00,0x88,0x00,0x88,0x00,0x88,0x00,0x88,0x00,0x88,0x00,
0x88,0x00,0x88,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x80,0x00,0x78,0x00,
0x78,0x00,0x78,0x00,0x78,0x00,0x78,0x00,0x78,0x00,0x70,0x00,0x70,0x00,0x70,0x00,
0x70,0x00,0x70,0x00,0x70,0x00,0x68,0x00,0x68,0x00,0x68,0x00,0x68,0x00,0x68,0x00,
0x68,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,
0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x58,0x00,0x50,0x00,0x50,0x00,
0x50,0x00,0x50,0x00,0x50,0x00,0x50,0x00,0x48,0x00,0x48,0x00,0x48,0x00,0x48,0x00,
0x48,0x00,0x48,0x00,0x48,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x40,0x00,
0x40,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,0x38,0x00,
0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,0x30,0x00,
0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x28,0x00,0x20,0x00,
0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x18,0x00,
0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x10,0x00,
0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,
0x10,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,
0x08,0x00,0x08,0x00,0x08,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00

};

uint8  code  G_Bar_L[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x20,0x00,0x20,0x00,0x20,
0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x40,0x00,0x40,0x00,0x40,
0x00,0x40,0x00,0x40,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x80,
0x00,0x80,0x00,0x80,0x00,0x80,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,
0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xe0,0x00,0xe0,0x00,0xe0,
0x00,0xe0,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x20,0x01,0x20,0x01,0x20,
0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x60,0x01,0x60,0x01,0x60,0x01,0x60,
0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0xa0,0x01,0xa0,0x01,0xa0,0x01,0xc0,
0x01,0xc0,0x01,0xc0,0x01,0xe0,0x01,0xe0,0x01,0xe0,0x01,0xe0,0x02,0x00,0x02,0x00,
0x02,0x00,0x02,0x20,0x02,0x20,0x02,0x20,0x02,0x40,0x02,0x40,0x02,0x40,0x02,0x40,
0x02,0x60,0x02,0x60,0x02,0x60,0x02,0x80,0x02,0x80,0x02,0x80,0x02,0xa0,0x02,0xa0,
0x02,0xa0,0x02,0xc0,0x02,0xc0,0x02,0xc0,0x02,0xe0,0x02,0xe0,0x02,0xe0,0x02,0xe0,
0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x20,0x03,0x20,0x03,0x20,0x03,0x40,0x03,0x40,
0x03,0x40,0x03,0x60,0x03,0x60,0x03,0x60,0x03,0x80,0x03,0x80,0x03,0x80,0x03,0xa0,
0x03,0xa0,0x03,0xa0,0x03,0xc0,0x03,0xc0,0x03,0xc0,0x03,0xe0,0x03,0xe0,0x03,0xe0,
0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x20,0x04,0x20,0x04,0x20,0x04,0x40,0x04,0x40,
0x04,0x40,0x04,0x60,0x04,0x60,0x04,0x60,0x04,0x80,0x04,0x80,0x04,0x80,0x04,0xa0,
0x04,0xa0,0x04,0xa0,0x04,0xc0,0x04,0xc0,0x04,0xc0,0x04,0xe0,0x04,0xe0,0x04,0xe0,
0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x20,0x05,0x20,0x05,0x40,0x05,0x40,
0x05,0x40,0x05,0x40,0x05,0x60,0x05,0x60,0x05,0x60,0x05,0x80,0x05,0x80,0x05,0x80,
0x05,0xa0,0x05,0xa0,0x05,0xa0,0x05,0xc0,0x05,0xc0,0x05,0xc0,0x05,0xc0,0x05,0xe0,
0x05,0xe0,0x05,0xe0,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x20,0x06,0x20,0x06,0x20,
0x06,0x20,0x06,0x40,0x06,0x40,0x06,0x40,0x06,0x60,0x06,0x60,0x06,0x60,0x06,0x60,
0x06,0x60,0x06,0x80,0x06,0x80,0x06,0x80,0x06,0xa0,0x06,0xa0,0x06,0xa0,0x06,0xc0,
0x06,0xc0,0x06,0xc0,0x06,0xc0,0x06,0xe0,0x06,0xe0,0x06,0xe0,0x06,0xe0,0x06,0xe0,
0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x20,0x07,0x20,0x07,0x20,0x07,0x20,
0x07,0x40,0x07,0x40,0x07,0x40,0x07,0x40,0x07,0x40,0x07,0x60,0x07,0x60,0x07,0x60,
0x07,0x60,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0xa0,0x07,0xa0,
0x07,0xa0,0x07,0xa0,0x07,0xa0,0x07,0xa0,0x07,0xc0,0x07,0xc0,0x07,0xc0,0x07,0xc0,
0x07,0xc0,0x07,0xc0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0

};

uint8  code  G_Bar_R[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xc0,0x07,0xc0,
0x07,0xc0,0x07,0xc0,0x07,0xc0,0x07,0xc0,0x07,0xa0,0x07,0xa0,0x07,0xa0,0x07,0xa0,
0x07,0xa0,0x07,0xa0,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0x80,0x07,0x60,
0x07,0x60,0x07,0x60,0x07,0x60,0x07,0x40,0x07,0x40,0x07,0x40,0x07,0x40,0x07,0x40,
0x07,0x20,0x07,0x20,0x07,0x20,0x07,0x20,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,
0x06,0xe0,0x06,0xe0,0x06,0xe0,0x06,0xe0,0x06,0xe0,0x06,0xc0,0x06,0xc0,0x06,0xc0,
0x06,0xc0,0x06,0xa0,0x06,0xa0,0x06,0xa0,0x06,0x80,0x06,0x80,0x06,0x80,0x06,0x60,
0x06,0x60,0x06,0x60,0x06,0x60,0x06,0x60,0x06,0x40,0x06,0x40,0x06,0x40,0x06,0x20,
0x06,0x20,0x06,0x20,0x06,0x20,0x06,0x00,0x06,0x00,0x06,0x00,0x05,0xe0,0x05,0xe0,
0x05,0xe0,0x05,0xc0,0x05,0xc0,0x05,0xc0,0x05,0xc0,0x05,0xa0,0x05,0xa0,0x05,0xa0,
0x05,0x80,0x05,0x80,0x05,0x80,0x05,0x60,0x05,0x60,0x05,0x60,0x05,0x40,0x05,0x40,
0x05,0x40,0x05,0x40,0x05,0x20,0x05,0x20,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,
0x04,0xe0,0x04,0xe0,0x04,0xe0,0x04,0xc0,0x04,0xc0,0x04,0xc0,0x04,0xa0,0x04,0xa0,
0x04,0xa0,0x04,0x80,0x04,0x80,0x04,0x80,0x04,0x60,0x04,0x60,0x04,0x60,0x04,0x40,
0x04,0x40,0x04,0x40,0x04,0x20,0x04,0x20,0x04,0x20,0x04,0x00,0x04,0x00,0x04,0x00,
0x03,0xe0,0x03,0xe0,0x03,0xe0,0x03,0xc0,0x03,0xc0,0x03,0xc0,0x03,0xa0,0x03,0xa0,
0x03,0xa0,0x03,0x80,0x03,0x80,0x03,0x80,0x03,0x60,0x03,0x60,0x03,0x60,0x03,0x40,
0x03,0x40,0x03,0x40,0x03,0x20,0x03,0x20,0x03,0x20,0x03,0x00,0x03,0x00,0x03,0x00,
0x02,0xe0,0x02,0xe0,0x02,0xe0,0x02,0xe0,0x02,0xc0,0x02,0xc0,0x02,0xc0,0x02,0xa0,
0x02,0xa0,0x02,0xa0,0x02,0x80,0x02,0x80,0x02,0x80,0x02,0x60,0x02,0x60,0x02,0x60,
0x02,0x40,0x02,0x40,0x02,0x40,0x02,0x40,0x02,0x20,0x02,0x20,0x02,0x20,0x02,0x00,
0x02,0x00,0x02,0x00,0x01,0xe0,0x01,0xe0,0x01,0xe0,0x01,0xe0,0x01,0xc0,0x01,0xc0,
0x01,0xc0,0x01,0xa0,0x01,0xa0,0x01,0xa0,0x01,0x80,0x01,0x80,0x01,0x80,0x01,0x80,
0x01,0x60,0x01,0x60,0x01,0x60,0x01,0x60,0x01,0x40,0x01,0x40,0x01,0x40,0x01,0x40,
0x01,0x20,0x01,0x20,0x01,0x20,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x00,0xe0,
0x00,0xe0,0x00,0xe0,0x00,0xe0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,0x00,0xc0,
0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0xa0,0x00,0x80,0x00,0x80,0x00,0x80,
0x00,0x80,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x60,0x00,0x40,0x00,0x40,
0x00,0x40,0x00,0x40,0x00,0x40,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x20,
0x00,0x20,0x00,0x20,0x00,0x20,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00

};
uint8  code  B_Bar_L[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,
0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x02,0x00,0x02,
0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,
0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,
0x00,0x03,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,
0x00,0x04,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,
0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x07,
0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x08,0x00,0x08,
0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x09,0x00,0x09,0x00,0x09,
0x00,0x09,0x00,0x09,0x00,0x09,0x00,0x0a,0x00,0x0a,0x00,0x0a,0x00,0x0a,0x00,0x0a,
0x00,0x0a,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,
0x00,0x0c,0x00,0x0c,0x00,0x0c,0x00,0x0c,0x00,0x0c,0x00,0x0d,0x00,0x0d,0x00,0x0d,
0x00,0x0d,0x00,0x0d,0x00,0x0d,0x00,0x0d,0x00,0x0e,0x00,0x0e,0x00,0x0e,0x00,0x0e,
0x00,0x0e,0x00,0x0e,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,
0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x11,0x00,0x11,
0x00,0x11,0x00,0x11,0x00,0x11,0x00,0x11,0x00,0x12,0x00,0x12,0x00,0x12,0x00,0x12,
0x00,0x12,0x00,0x12,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,
0x00,0x13,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x15,
0x00,0x15,0x00,0x15,0x00,0x15,0x00,0x15,0x00,0x15,0x00,0x16,0x00,0x16,0x00,0x16,
0x00,0x16,0x00,0x16,0x00,0x16,0x00,0x17,0x00,0x17,0x00,0x17,0x00,0x17,0x00,0x17,
0x00,0x17,0x00,0x17,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,
0x00,0x18,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,
0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,
0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1c,
0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,
0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,
0x00,0x1d,0x00,0x1d,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,
0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1f,0x00,0x1f,0x00,0x1f,
0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f
};

uint8  code  B_Bar_R[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.4 bytes=P(x,y)+P(x+1,y)Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1f,
0x00,0x1f,0x00,0x1f,0x00,0x1f,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,
0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1e,0x00,0x1d,0x00,0x1d,
0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,0x00,0x1d,
0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,0x00,0x1c,
0x00,0x1c,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,0x00,0x1b,
0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,0x00,0x1a,
0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x19,0x00,0x18,
0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x18,0x00,0x17,0x00,0x17,
0x00,0x17,0x00,0x17,0x00,0x17,0x00,0x17,0x00,0x17,0x00,0x16,0x00,0x16,0x00,0x16,
0x00,0x16,0x00,0x16,0x00,0x16,0x00,0x15,0x00,0x15,0x00,0x15,0x00,0x15,0x00,0x15,
0x00,0x15,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x14,0x00,0x13,
0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x13,0x00,0x12,0x00,0x12,
0x00,0x12,0x00,0x12,0x00,0x12,0x00,0x12,0x00,0x11,0x00,0x11,0x00,0x11,0x00,0x11,
0x00,0x11,0x00,0x11,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,0x00,0x10,
0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0f,0x00,0x0e,0x00,0x0e,
0x00,0x0e,0x00,0x0e,0x00,0x0e,0x00,0x0e,0x00,0x0d,0x00,0x0d,0x00,0x0d,0x00,0x0d,
0x00,0x0d,0x00,0x0d,0x00,0x0d,0x00,0x0c,0x00,0x0c,0x00,0x0c,0x00,0x0c,0x00,0x0c,
0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0b,0x00,0x0a,
0x00,0x0a,0x00,0x0a,0x00,0x0a,0x00,0x0a,0x00,0x0a,0x00,0x09,0x00,0x09,0x00,0x09,
0x00,0x09,0x00,0x09,0x00,0x09,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,0x00,0x08,
0x00,0x08,0x00,0x08,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,0x00,0x07,
0x00,0x07,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,0x00,0x06,
0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x05,0x00,0x04,
0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x04,0x00,0x03,
0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,0x00,0x03,
0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,0x00,0x02,
0x00,0x02,0x00,0x02,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,
0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00

};


uint8  code  pic_green_l[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,
0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,
0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xef,0xfd,0xef,0xfd,
0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,
0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,
0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,
0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,
0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xc7,0xf8,
0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,0xbf,0xf8,0xbf,0xf7,0xbf,0xf7,
0xbf,0xf7,0xbf,0xf7,0xbf,0xf7,0xbf,0xf7,0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,
0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,
0xaf,0xf5,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0x9f,0xf3,
0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,0x97,0xf2,0x97,0xf2,0x97,0xf2,
0x97,0xf2,0x97,0xf2,0x97,0xf2,0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,
0x8f,0xf1,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xef,
0x7f,0xef,0x7f,0xef,0x7f,0xef,0x7f,0xef,0x7f,0xef,0x77,0xef,0x77,0xee,0x77,0xee,
0x77,0xee,0x77,0xee,0x77,0xee,0x77,0xee,0x6f,0xed,0x6f,0xed,0x6f,0xed,0x6f,0xed,
0x6f,0xed,0x6f,0xed,0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,
0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x57,0xea,0x57,0xea,
0x57,0xea,0x57,0xea,0x57,0xea,0x57,0xea,0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,
0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,0x47,0xe8,0x47,0xe8,0x47,0xe8,0x47,0xe8,0x47,0xe8,
0x47,0xe8,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,
0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x2f,0xe5,
0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x27,0xe4,
0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x1f,0xe3,
0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x17,0xe2,
0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,
0x17,0xe2,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,
0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,
0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0


};

uint8  code  pic_green_r[]=
{
//Image size:240 X 1 X 16BPP=480 bytes.Data format: 4 bytes(2 pixels)=RRRRRGGG,GGGBBBBB,RRRRRGGG,GGGBBBBB

0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,
0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x07,0xe0,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,
0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x0f,0xe1,0x17,0xe2,
0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,0x17,0xe2,
0x17,0xe2,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,0x1f,0xe3,
0x1f,0xe3,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,0x27,0xe4,
0x27,0xe4,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,0x2f,0xe5,
0x2f,0xe5,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,0x37,0xe6,
0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x3f,0xe7,0x47,0xe8,
0x47,0xe8,0x47,0xe8,0x47,0xe8,0x47,0xe8,0x47,0xe8,0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,
0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,0x4f,0xe9,0x57,0xea,0x57,0xea,0x57,0xea,0x57,0xea,
0x57,0xea,0x57,0xea,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,0x5f,0xeb,
0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,0x67,0xec,0x6f,0xed,0x6f,0xed,
0x6f,0xed,0x6f,0xed,0x6f,0xed,0x6f,0xed,0x77,0xee,0x77,0xee,0x77,0xee,0x77,0xee,
0x77,0xee,0x77,0xee,0x77,0xef,0x7f,0xef,0x7f,0xef,0x7f,0xef,0x7f,0xef,0x7f,0xef,
0x87,0xef,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x87,0xf0,0x8f,0xf1,
0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,0x8f,0xf1,0x97,0xf2,0x97,0xf2,0x97,0xf2,
0x97,0xf2,0x97,0xf2,0x97,0xf2,0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,0x9f,0xf3,
0x9f,0xf3,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xa7,0xf4,0xaf,0xf5,
0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,0xaf,0xf5,0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,
0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,0xb7,0xf6,0xbf,0xf7,0xbf,0xf7,0xbf,0xf7,0xbf,0xf7,
0xbf,0xf7,0xbf,0xf7,0xbf,0xf8,0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,0xc7,0xf8,
0xc7,0xf8,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,0xcf,0xf9,
0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,0xd7,0xfa,
0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,0xdf,0xfb,
0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,0xe7,0xfc,
0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,0xef,0xfd,
0xef,0xfd,0xef,0xfd,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,
0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xf7,0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,
0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff

};

 

 


unsigned char code pic1[]=
{  

};



    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多