分享

设计了一个过流保护电路

 泓的馆藏 2015-04-10
 本帖最后由 打字慢 于 2014-12-31 16:47 编辑

有人叫我为他的开关电源设计一个过流保护电路,他的芯片是tl494来的,所以这个电路是为494服务的;电源参数:输出12V,过流保护值25A(我设计成了26A)
电路图


    说明:R4是采样电阻,用2.5mm2的10cm的铜线制作而成;R1为负载;R2是调节U1A的放大倍数的;C1C2C3都是0.1u的;D1一定要用快恢复二极管;VDD是开关电源输出正极;各种参数根据图的万用表的示数即可。XMM4的示数可能不符合实际情况,应当注意。
    事情是这样的:采样电阻→U1A放大→U2A电压比较→输出
    如果有需要,我会把开关电源的电路图发上来(虽然不是我设计的,还有是带稳压的哦)

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多