分享

以DAC为例介绍SpectreVerilog数模混合电路仿真方法

 像风无影 2017-03-21

EETOP电子网(中国电子顶级开发网)是国内最顶级的电子行业工程师社区,涉及:嵌入式、智能硬件、半导体集成电路设计及制造等。 为您分享论坛精华内容、行业最新资讯、产品及技术 。 网址:www.eetop.cn bbs.eetop.cn

来源:EETOP BBS    作者:真我个性 (eetop id名)

1.先谈下cadence IUS 和cadence ic,nc-verilog,spectre的关系
cadence ic用于模拟仿真的软件,cadence IUS用于数字仿真的软件。如果只做模拟,那用ic就够了。同理,如果只做数字,那用IUS也就够了。但如果做数模混合,既有数字又有模拟,那两个软件都需要安装。
cadence ic cadence IUS
如同spectre是cadence ic的模拟仿真器,nc-verilog是IUS的数字仿真器。因此,如同装上cadence ic就能用spectre一样,装上cadence IUS就能用nc-verilog。

2. 数模混合电路中数字和模拟的实现
数模混合电路中的模拟部分包括运放,开关电容等,数字部分包括开关电容中的控制信号等。
本文模拟部分就是DAC,但是例程中的DAC并不是用实际的电路实现的,而是采用verilog A代码来描述其行为模型的。代码如下:

数字部分就是给DAC提供一些列的数字输入信号,采用的是数字语言verilog实现的,代码如下:
所有代码,其中DAC8bit_spectreverilog.tar.gz是cadence library,解压后可直接在cadence中仿真运行。 DAC8bit_spectreverilog.zip (24.61 KB)(本文最后的压缩包中也有该文件)

数模混合电路仿真时数字电路采用nc-verilog仿真器,模拟电路用spectre仿真器,最后把结果合在一起。具体的设置步骤参考下文:
VerilogA_Creating & simulating an 8-bit D-A Converter (DAC)_spectreverilog.pdf (605.61 KB)(本文最后的压缩包中也有该文件)

总电路


仿真结果


3. 参考资料
“数模混合电路设计流程_中科大”:介绍很完整,很严谨。
“Cadence 实验系列12_数模混合电路设计_spetreVerilog”:PPT很详细介绍了每一步骤的操作
“以Sigma-Delta ADC为例介绍SpectreVerilog数模仿真方法”:写点稍微有点乱,但不失为一个很好的学习实例。
数模混合电路仿真参考资料.zip (4.4 MB)

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多