分享

plc梯形图元素使用st语言编程的实现方法

 打遍天下的酱油 2018-05-14

在前文跟我学可编程控制器之PLC梯形图逻辑转换成ST语言的两种方法中,向大家讲述了如何把PLC经典的启保停梯形图逻辑转换成ST语言,我曾经阐述过,PLC梯形图编程最基本的要素就是常开,常闭,定时,计数。今天就跟大家讲述以上元素如何用ST语言实现,掌握了这些,你就可以游刃有余的使用ST语言编程,提升逼格。



1 常开 常闭

常开直接调用变量,常闭在变量前加 NOT 比如

plc梯形图元素使用st语言编程的实现方法

如图所示的梯形图,I0 I1在ST 中表示

I0

NOT I1

2 上升沿 下降沿

上升沿和下降沿的实现比较麻烦,需要调用功能块实现,上升沿调用 R_TRIG,下降沿调用 F_TRIG。比如,要实现I0 的上升沿

plc梯形图元素使用st语言编程的实现方法

如图所示,我们要先定义一个变量R1,它的数据类型为 R_TRIG,它的触发信号为I0,在程序中调用R1.Q 就是变量I0的上升沿。R1.Q和下图所示的元素意义是一样的

plc梯形图元素使用st语言编程的实现方法

下降沿也是使用同样的方法,它调用功能块F_TRIG。大家不妨自行尝试。

3 定时器 计数器

定时器和计数器也是通过调用功能块实现,定时器的功能块为TON,计数器的功能块为CTU,老规矩,我们先要定义变量,变量类型为定时器型 和计数器型。

plc梯形图元素使用st语言编程的实现方法

如上图所示,我们先定义变量T1 和C1,分别为定时器型和计数器型,然后再将输入输出元素列出,图中红色荧光笔中部分就是我们需要填入的变量,也就是定时器和计数器的基本参数。


到此为止,ST语言基本的要素你已经掌握了,就可以写一些简短的程序了,就可以自由翱翔ST语言的世界了,自此告别LOW逼的梯形图,大大提高自己的逼格。

需要注意的是,小编我介绍的是基于IEC61131-3标准的ST语言,适合于CODESYS平台,比如施耐德,伦茨,ABB,倍福,WAGO,台达,汇川 等等。如果你用的是西门子博途,它的ST语言称之为SCL(注意,不是STL),它和标准的ST语言还是有差别的,个人认为,博途的SCL语言相比ST语言,更加的繁琐,麻烦。就像老太太的裹脚布一样,又臭又长。

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多