分享

如何编写流水灯(跑马灯)?

 枫中眸zc 2022-01-17

测试

硬件资源

名称功能引脚
FPGA_CLK外部时钟 50MhzE1
RESET复位M1
LED0指示灯0B7 D11
LED1指示灯1B7 C11
LED2指示灯2B7 E10
LED3指示灯3B7 F9

新建工程

1、在菜单栏 “File -> New Project Wizard...”

新建工程模板
测试

2、导航配置内容简介

跳过即可
测试

3、工程名以及工程路径
  1. 工程的路径;

  2. 工程名(使用顶层文件名)
    测试

4、添加工程设计文件

可以添加已有的Verilog / VHDL文件,此处新建工程没有文件,跳过。
测试

5、选择FPGA芯片

选择芯片系列,结合筛选条件,确定使用芯片
测试

6、EDA配置

根据情况自己配置,后续测试modulesim仿真,故图示配置,若只编程下载,直接Next即可
测试

7、工程信息总览

测试

8、工程创建完毕界面

测试

需求分析

本次实现流水灯,需要4个灯按照固定规律依次闪烁,故需要计时部分输出部分
测试

模块代码

1、添加Verilog HDL 代码文件

添加模块结构
测试

添加计时部分
测试

添加输出部分
测试

保存到合适的路径下,编译通过!
测试

2、分配管脚

打开 "pin planner" ,分配管脚
测试
根据实际电路板管脚分配资源(Location中添加管脚)
测试

3、下载代码

打开program图标,进入下载界面。
测试
加载烧录设备,添加 .sof 文件,点击“start”,下载完成。
测试
测试板上led成流水灯效果。

    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多