分享

【QuartusII学习】如何通过一个按键,控制led的亮灭?

 枫中眸zc 2022-01-25

测试

硬件资源

名称功能引脚
LED0指示灯0D11
LED1指示灯1C11
LED2指示灯2E10
LED3指示灯3F9
KEY0按键0E16
KEY1按键1E15
KEY2按键2M2
KEY3按键3M16

新建工程

参考之前文章即可得到工程模板。

需求分析

测试例程需要一个按键控制一个灯,
测试

模块代码

由于需求比较简单,故制作一个输出逻辑即可,根据key信号控制输出信号
注意:
1、在端口定义中,没有声明,默认为wire类型 ,故需要将led提前声明为reg(寄存器)类型
2、有原理图知,按键处于弹起状态,处于高电平状态(1);按下按键,处于低电平状态(0)。故led输出需要与按键状态相反。

module key(
    input [3:0]         key_s,
    output reg [3:0]    led
);
//根据按键,点亮对应led
always @(*)
begin
    led <= ~key_s;
end
endmodule

测试
测试

    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多