分享

#-QuartusII 异常处理集

 枫中眸zc 2023-03-27 发布于江西

—————————————————————————————————————

现象:

原因:

解决方案:

 

—————————————————————————————————————

现象:

Error (10200): Verilog HDL Conditional Statement error at passometer.v(29): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

原因:

不能匹配操作条件,always的触发条件之一是rst_n的下降沿,下降沿进入逻辑后,rst_n为低电平,若要执行复位操作,则需要“!rst_n”

clipboard.png

解决方案:

修改复位条件即可

if(!rst_n)

clipboard.png

 

—————————————————————————————————————

现象:

Error (10028): Can't resolve multiple constant drivers for net "data[3]" at ControlIO.v(44)

原因:

在不同的always逻辑块中,对同一个reg变量进行了赋值。

clipboard.png

解决方案:

修改异常reg,将上例中data,改到一个always中。

    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多