分享

芯片设计流程

 金刚光 2023-05-08 发布于辽宁

 芯片设计流程

芯片设计流程

阿吧阿吧阿
一个FPGA实习生美女

“芯片设计师”

要在一个小小的晶片上集成电路,可以理解为一个超大型的城市,芯片设计师就是这座超级城市规划师,他需要在指甲盖大小的面积上,把一百亿个晶体管,按照一定的规范要求和逻辑顺序设计好,从而达到他想要实现的功能。在这个过程中,大到城市功能区分类,比如住宅区,饭店和工厂等要规划好,小到要设计什么样的楼,每个楼的功能是什么,机动车道和非机动车道要进行隔离等各种细枝末节,都得考虑到。想要人为实现这些过程显然是不可能的。我们可以在淘宝上买一个几毛钱的芯片,它里面集成了四个二输入与非门单元,这个电路是如何设计的?答案是 手工画的,相貌是这样的,上面是NMPS,下面是PMOS,两个加起来就是大名鼎鼎的CMOS,也是现在集成电路的基础,这个与非门有两个输入,两个输出。

现在更加复杂的芯片就是无数个这样的基本门电路组成的。这些电路有几千万门到几亿万门,不可能手工绘画完成,这时就需要EDA工具了。芯片设计就是用专门的EDA设计软件,也叫电子设计自动化软件,把客户的产品需求,转化为电路设计的版图。芯片设计需要很多流程,在EDA工具的帮助下完成一系列流程,形成最终的版图,交给工厂,这就是芯片设计。

芯片的设计就像建造一座城堡,在正式开工前,设计师需要先进行非常详尽,精确的设计,所以芯片设计的第一步就是,再明确市场需求之后,将市场需求转化为芯片的规格指标,然后形成芯片Spec,也就是芯片规格说明书,他会非常详尽的描述这款芯片的功能,性能,尺寸,封装以及应用等内容。为了让芯片有升级空间,在有了芯片的系统规格之后,就要从架构和算法上,把芯片模块化,就是结合架构和算法把芯片的总体结构搭建出来,同时定义出各个功能模块;下一步就是要使用硬件描述语言,搭建功能模块,通常是使用Verilog或VHDL来进行RTL代码设计规范;紧接着就是逻辑功能的仿真验证,仿真验证是保证芯片功能性和正确性的关键步骤;第四步就是逻辑综合,就是把RTL代码变成门级网表,在逻辑综合的时候必须要加入设定的约束条件,也就是要在最后的电路面积,时序等目标参数上达到标准;芯片设计的第五步,包含布局布线设计,布线验证,寄生参数提取,布线后电路生成,布线后仿真,静态时序分析等。完成了这些,芯片设计部分就算是结束了,下一步就要进入制造阶段。

下面的图简单介绍了芯片设计的流程


首先确定项目需求,确定芯片的具体指标

物理实现:

制作工艺(代工厂及工艺尺寸);

裸片面积(DIE大小,DIE由功耗、成本、数字/模拟面积共同影响);

封装(封装越大,散热越好,成本越高)。

性能指标:

速度(时钟频率); 功耗。

功能指标:

功能描述; 接口定义

系统级设计:指用系统建模语言对各个模块描述,为了对方案的可行性进行验证

RTL设计:利用硬件描述语言,如Verilog,对电路以寄存器之间的传输为基础进行描述。

功能验证:对设计的功能进行仿真验证,需要激励驱动,是动态仿真。对RTL级的代码进行设计验证,该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真。

逻辑综合:需要指定特定的综合库,添加约束文件;逻辑综合得到门级网表(Netlist)。就是将RTL设计中所得到的程序代码翻译成实际电路的各种元器件以及他们之间的连接关系,可以用一张表来表示,称为门级列表。

形式验证:功能上进行验证,综合后的网表进行验证。常用的就是等价性检查方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。

布局布线:连接 各个模块,包括时钟树插入。

寄生参数提取:提取延迟信息。

静态时序分析:加入了布局布线延迟,更真实的时序分析。

版图物理验证:对完成布线的物理版图进行功能和时序上的验证,简单的说就是将版图与逻辑综合后的门级电路图的对比验证

整个IC设计流程都是一个迭代的过程,每一步如果没有不能满足要求,都要重复之前的过程,直至满足要求位置,才能进行下一步。

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多