分享

传奇嵌入式芯片,Z80即将开源

 知芯世界 2024-05-13 发布于黑龙江
Z80作为诞生于48年前的芯片,不仅曾经是苹果电脑、TI-84 Plus CE图形计算器的核心,也曾驱动过世嘉SG-1000、任天堂Game Boy Color等游戏机,并开枝散叶到大量的衍生产品中。
 
直到现在,Z80仍然没有“退役”,依然存在大量应用,甚至包括飞机、军工。
 
这一颇有传奇色彩的芯片,在最近宣告了生命周期的终极。Zilog目前是Ixys和Littelfuse的一部分,该公司上个月末发布通知,因为老旧的Z80架构无法与8位元RISC和低成本的32位元嵌入式处理器竞争,Zilog决定停止生产Z80系列。晶圆代工制造商将于6月中旬停止接受新的Z80芯片订单,意味着Z80即将退出历史舞台。
 
可停产,意味着大量应用短时间就要找到替代品。为了让这些应用快速替代现有Zilog的Z80方案,并将Z80的设计继续延续下去,最近,开源和硬件保护社区正在开发Z80的免费开源芯片 (FOSS) 替代品。
 
付斌|作者
电子工程世界(ID:EEWorldbbs)|出品

 开源的Z80,来了 

 
随着原始Z80双列直插式封装(DIP)微控制器的生命周期结束,Z80微控制器的硅验证、引脚兼容且开源的版本正在构建中。
 
芯片设计师Renaldas Zioma表示,现在是开源和硬件保护社区介入的时候了,用免费和开源硅(FOSS)替代Zilog Z80。
 
目前,开源版本设计已经可以在Github上获得,网址为github.com/rejunity/z80-open-silicon。
 
据了解,FOSS设计首次制造(第一次迭代)计划于2024年6月进行,并作为CI 2406航天飞机的一部分。使用Tiny Tapeout公司下一代多项目晶圆代工服务(MPW),采用130nm工艺,芯片尺寸仅0.064 mm2。此外,Tiny Tapeout 7将于6月进入晶圆厂。
 
该设计使用Z80的现代、免费和开源硅克隆,该克隆将经过硅验证且引脚兼容。它使用 OpenROAD开源EDA和FOSS 130 nm Skywater PDK来合成量产就绪的硅。
  

 
该实现基于Guy Hutchison的TV80 Verilog内核。
 
下面是FOSS Z80 GDSII集成电路布局图。它是在OpenROAD中使用130 nm“门”逻辑元件进行自动布局和布线流程的结果。
 
 

 Z80的前世今生 

 
Z80的历史可以追溯到20世纪70年代中期,当时微处理器技术正处于快速发展阶段。Z80作为这一时期的代表性产品之一,设计初衷是为了提供一种强大且高效的微处理器解决方案,以满足当时日益增长的计算需求。
 
Zilog的创建源于单芯片微处理器的竞争。Federico Faggin,作为Zilog的主要创始人之一,曾经开发了被普遍认为是第一个商用单芯片微处理器的4位元英特尔4004,以及8位元英特尔8008,并在后续处理器——4040和8080的改进中发挥了重要作用。特别是1974年推出的8080,它是首个性能强大的8位元微处理器,能够直接寻址64K的RAM,并且其接口比英特尔之前的微处理器芯片更为简便。
 
然而,Faggin对于微处理器的期待超越了当时英特尔的目标。据说,英特尔更专注于销售静态RAM和ROM,基本上将微处理器视为一种促进更多存储芯片销售的手段,而非一种有价值的独立产品。因此,Faggin在1974年末离开了英特尔,随后与Ralph Ungermann一同创立了Zilog。
 
Z80是Zilog公司的首个产品,作为8位微处理器,Z80的设计始于1975年,由Federico Faggin领导的一小组工程师在Zilog公司内展开。他们基于之前的经验和对市场的深入了解,开始了Z80的设计工作。在设计过程中,他们特别注重提高处理器的性能和指令集的丰富性,同时保持其相对较小的尺寸和较低的功耗。
 
第一个工作样品于1976年3月交付,而Z80于1976年7月正式投放市场。Zilog公司通过Z80建立了自己的芯片工厂,并在接下来的两年里发展到了超过一千名员工。
 
简单来说,Zilog的Z80是Intel 8080的软件兼容扩展和增强版,主要面向嵌入式系统。尽管主要用于嵌入式系统,Z80还是从1970年代到1980年代中期成为台式计算机和家用计算机中最广泛使用的CPU之一。此外,它在军事应用、音乐设备如合成器(如Roland Jupiter-8),以及1970年代末和80年代初的投币式街机游戏(包括吃豆人)中也非常常见。
 
Z80一经推出,便迅速在市场上取得了成功。随着技术的不断进步和市场需求的不断变化,Z80也经历了多次改进和升级。其中,一些重要的改进包括增加指令集、提高主频、增强存储管理功能等。这些改进使得Z80能够更好地适应各种应用需求,并保持其在市场上的领先地位。
 

 Z80改变过什么行业 

 

在1970年代末和1980年代初,Z80掀起了电脑和游戏的革命。那时候Z80微处理器被广泛用于大量山寨的商业机器中,这些机器都搭载了CP/M操作系统,这种组合在当时的市场上占据了主导地位。运行CP/M的Z80商用计算机的四个典型机器包括Heathkit H89、便携式Osborne 1、Kaypro系列和Epson QX-10。
 
这个时期,Z80同时作为扩展卡引入了家用计算机,它们使用 Z80 作为主处理器或作为插件选项,以方便访问为 Z80 编写的软件。
 
Heathkit H89 PC
 
1980年~1990年末,那时候还没有笔记本电脑的概念,Z80也曾经进入过越来越便携的电脑中。随着CMOS版本处理器出现,Z80在更轻的电池供电设备中的使用变得更加普遍,同时它启发了其它基于CMOS的处理器开发。
 
1981年~1985年,夏普PC-1500、改良Z80 PC-1600、Epson PX-8 Geneva、PX-4、Bondwell-2接连面世,它们是像台式机一样运行CP/M操作系统的笔记本电脑,不过随后几年,笔记本电脑迅速转向更强大的英特尔8086处理器和MS-DOS操作系统。
 
夏普PC-1500
 
1988年,Z80被引入更为轻量级的系统,比如1988年的Cambridge Z88和1992 年的Amstrad NC100 。Z80衍生的Z8S180也进入了早期的笔式个人数字助理,比如,1993年的Amstrad PenPad PDA600。
 
Amstrad PenPad PDA600
 
围绕着Z80内核,德州仪器 (TI) 也生产过一系列的产品,其中第一个是TI PS-6200 ,经过几十个型号的长时间生产运行,最终形成了他们的PocketMate系列。
 
TI PS-6200
 
当然,Z80渗透最大的领域是嵌入式,其中非常具有开创性的产品就包括音乐合成器Prophet-5、第一个 MIDI 合成器Prophet 600、卡西欧视频游戏机PV-1000、《吃豆人》游戏街机、Sega Master System、Sega Game Gear游戏机、TI-81、TI-82、TI-84、TI-85、TI-84 Plus CE系列图形计算器、“AON”苏联固定电话采用了Z80。
 
TI-84 Plus CE图形计算器
 
Z80克隆和衍生的产品更无数。克隆品比较典型的包括Mostek为Zilog生产了第一个Z80,克隆生产了 MK3880;东芝制造了CMOS版本的TMPZ84C00,这是与Zilog用于其自己的CMOS Z84C00 的设计相同;苏联制造了Z80的几个克隆版本-T34BM1;在东德,生产了未经许可的复制品 ,称为U880;Sharp和NEC分别克隆生产了NMOS Z80、LH0080(用于夏普等日本厂商生产的各种家用电脑和个人电脑,包括索尼 MSX电脑,以及夏普MZ系列的多款电脑) 和μPD780C。
 
 
衍生品分类多种类型:与原装Z80兼容包括日立开发了HD64180、东芝开发了84针Z84013/Z84C13和100针Z84015/Z84C15系列的“智能外设控制器”、川崎(日本上市的跨国)开发了二进制兼容的KL5C8400、NEC μPD9002、炬力的音频处理器系列芯片ATJ2085、National Semiconductor NSC800;不兼容的包括东芝TLCS 900系列、NEC 78K系列微控制器;部分兼容的包括Rabbit 2000/3000/4000微处理器/微控制器、NMOS Z800和 CMOS Z280、某些街机游戏如Pang / Buster Bros使用由VLSI Technology制造的加密“歌舞伎”Z80 CPU 。

 告别后的未来 


32位嵌入式时代,8位元RISC的Z80的确越来越没有竞争力,而且Z80在国内似乎一直都不太火,不过它本身的确拥有很大的价值,值得人们去深度挖掘。
 
具体来说,Z84C0006VEG - Z84C0006PEG - Z84C001PEG - Z84C0008AEG - Z84C0020VEG - Z84C0008PEG - Z84C0010AEG - Z84C0010VEG00TR - Z84C0020AEG - Z84C0020PEG - Z84C0006AEG Zilog将接受最后订单直到2024年6月14日。
 
在此之后,Z80的痕迹其实还是会留存的。因为Z80软核心(soft cores)可用于FPGA中实现,而eZ80是一种增强的架构衍生嵌入式处理器,与Z80代码兼容,但在内存寻址和接口上更快、更现代。更重要的是,Z80开源版本也能够带来巨大动能。
 
传奇的嵌入式芯片,停产了,但它不会从此消失,而是以另一个方式,永远地服务着每一个嵌入式设备。

参考文献

[1] EENews:Open source Z80 to replace end of line chip
[2] EETimes:已生产近50年,传奇CPU Zilog Z80将于今年6月停产
[3] https://github.com/rejunity/z80-open-silicon?tab=readme-ov-file
[4] https://archive./resources/text/Oral_History/Zilog_Z80/102658073.05.01.pdf
[5] OpenFPGA:穿越时空的爱恋-Z80 CPU的前世今生

· END ·








    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多