配色: 字号:
EDA技术与VHDL程序设计基础教程习题答案
2012-08-13 | 阅:  转:  |  分享 
  
EDA技术与VHDL程序设计基础教程习题答案

第1章EDA1.8.1填空

1.EDA的英文全称是ElectronicDesignAutomation

2.EDA技术经历了计算机辅助设计CAD阶段、计算机辅助工程设计CAE阶段、现代电子系统设计自动化EDA阶段三个发展阶段

3.EDA技术的应用可概括为PCB设计、ASIC设计、CPLD/FPGA设计三个方向

4.目前比较流行的主流厂家的EDA软件有QuartusII、ISE、ModelSim、ispLEVER

5.常用的设计输入方式有原理图输入、文本输入、状态机输入

6.常用的硬件描述语言有VHDL、Verilog

7.逻辑综合后生成的网表文件为EDIF

8.布局布线主要完成将综合器生成的网表文件转换成所需的下载文件

9.时序仿真较功能仿真多考虑了器件的物理模型参数

10.常用的第三方EDA工具软件有Synplify/SynplifyPro、LeonardoSpectrum

1.8.2选择

1.EDA技术发展历程的正确描述为(A)

ACAD->CAE->EDA

BEDA->CAD->CAE

CEDA->CAE->CAD

DCAE->CAD->EDA

2.Altera的第四代EDA集成开发环境为(C)

AModelsim

BMUX+PlusII

CQuartusII

DISE

3.下列EDA工具中,支持状态图输入方式的是(B)

AQuartusII

BISE

CispDesignEXPERT

DSyplifyPro

4.下列几种仿真中考虑了物理模型参数的仿真是(A)

A时序仿真

B功能仿真

C行为仿真

D逻辑仿真

5.下列描述EDA工程设计流程正确的是(C)

A输入->综合->布线->下载->仿真

B布线->仿真->下载->输入->综合

C输入->综合->布线->仿真->下载

D输入->仿真->综合->布线->下载

6.下列编程语言中不属于硬件描述语言的是(D)

AVHDL

BVerilog

CABEL

DPHP

1.8.3问答

1.结合本章学习的知识,简述什么是EDA技术?谈谈自己对EDA技术的认识?

答:EDA(ElectronicDesignAutomation)工程是现代电子信息工程领域中一门发展迅速的新技术。

2.简要介绍EDA技术的发展历程?

答:现代EDA技术是20世纪90年代初从计算机辅助设计、辅助制造和辅助测试等工程概念发展而来的。它的成熟主要经历了计算机辅助设计(CAD,ComputerAidedDesign)、计算机辅助工程设计(CAED,ComputerAidedEngineeringDesign)和电子设计自动化(EDA,ElectronicSystemDesignAutomation)三个阶段。

3.什么是SOC?什么是SOPC?

答:SOC(SystemonChip,片上系统)

SOPC(SystemonaProgrammableChip,片上可编程系统)ASIC)采用硬接线的固定模式,而现场可编程门阵列(FPGA)则采用可配置芯片的方法,二者差别迥异。可编程器件是目前的新生力量,混合技术也将在未来发挥作用。

6.阐述行为仿真、功能仿真和时序仿真的区别?

答:行为仿真只考虑逻辑功能。功能仿真仅仅完成了对VHDL所描述电路的逻辑功能进行测试模拟,以观察其实现的功能是否满足设计需求,因而仿真过程并不涉及任何具体器件的硬件特性。时序仿真则是比较接近真实器件运行的仿真,在仿真过程中已经对器件的物理模型参数做了恰当的考虑,所以仿真精度要高得多。

7.详细描述EDA设计的整个流程?

答:

系统规格制定(DefineSpecification)设计描述(DesignDescription)功能验证(FunctionVerification)逻辑电路合成(Logicsynthesis)逻辑门层次的电路功能验证(Gate-LevelNetlistVerification)配置与绕线(PlaceandRouting)绕线后的电路功能验证(PostLayoutVerification)第章EDAEPLD

BCPLD

CFPGA

DPAL

2.在下列可编程逻辑器件中,属于易失性器件的是(D)

AEPLD

BCPLD

CFPGA

DPAL

3.下列逻辑部件中不属于Altera公司CPLD的是(A)

A通用逻辑块(GLB)

B可编程连线阵列(PIA)

C输入输出控制(I/O)

D逻辑阵列块(LAB)



4.下列逻辑部件中不属于Lattice公司CPLD的是(D)

A通用逻辑块(GLB)

B全局布线区(GRP)

C输出布线区(ORP)

D逻辑阵列块(LAB)

5.下列FPGA中不属于Xilinx公司产品的是(D)

AXC4000

BVirtex

CSpartan

DCyclong

6.下列FPGA中不属于Alter公司产品的是(B)

AFLEX10K

BVirtex

CStratix

DCyclone

7.下列配置方式不属于FPGA配置模式的是(D)

A主动串行配置模式

B被动串行配置模式

C主动并行配置模式

D被动从属配置模式

8.下列因素中通常不属于CPLD/FPGA选型条件的是(D)

A逻辑资源

B功耗和封装

C价格和速度

D产地

2.8.3问答

1.结合本章学习的知识,简述CPLD的基本结构?

答:虽然CPLD种类繁多、特点各异,共同之处总结起来可以概括为三个部分:

可编程逻辑阵列块;

输入/输出块;

互联资源;

其中,可编程逻辑阵列块类似于一个低密度的PAL/GAL,包括乘积项的与阵列、乘积项分配和逻辑宏单元等。乘积项与阵列定义了每个宏单元乘积项的数量和每个逻辑块乘积项的最大容量,能有效的实现各种逻辑功能。

2.结合本章学习的知识,简述FPGA的基本结构?

答:基于SRAM编程的FPGA以Xilinx的逻辑单元阵列(LCA,LogicCellArray)为例,基本结构如图2-20所示。

图2-20FPGA的基本机构



反熔丝技术FPGA器件的逻辑结构采用基于多路选择器的基本逻辑单元,配置数据放在反熔丝开关矩阵中,通过编程使部分反熔丝介质击穿,导通开关从而实现器件的编程。如图2-21所示

图2-21反熔丝技术的FPGA结构



3.基于SRAM编程的FPGA有哪些特征?优缺点?

答:

FPGA器件的优点:

可以反复编程,对于一般规模的器件,上电几十毫秒就可以完成配置数据的加载;

开发设计不需要专门的编程器;

与CMOS工艺的存储器兼容,价格较低;

FPGA器件的缺点:

由于器件掉电后SRAM容易丢失配置数据,因而常常在FPGA外部添加一个制度春初期PROM或EPROM来保存这些配置数据,从而给配置数据的保密带来了困难;

器件内部可编程连线和逻辑定义通过大量的传输门开关实现,从而导致电阻变大,传递信号的速度收到影响,限制工作频率;



4.简述MAX7000器件的结构及特点?

答:



5.简述ispLSI2000器件的结构及特点?

答:



6.简述FLEX10K器件的结构及特点?

答:



7.简述XC4000器件的结构及特点?

答:



8.阐述FPGA配置几种方式?

答:

主动串行配置模式(AS);

被动串行配置模式(PS);

主动并行配置模式(AP);

被动并行同步配置模式(PPS);

被动并行异步配置模式(PPA);

被动串行异步配置模式(PSA);

菊花链配置模式;

JTAG配置模式;



9.如何选用CPLD和FPGA?

答:

CPLD/FPGA的选择主要根据项目本身的需要,对于规模不大且产量不高的应用,通常使用CPLD比较好。对与大规模的逻辑设计、AIC设计或单片系统的设计,则多采用FPGA。从逻辑规模上讲FPGA覆盖了逻辑门书5000~2000000门的大中规模。目前,FPGA的主要应用有三个方面:

直接使用与电路系统;

硬拷贝;

逻辑验证;

由上可知,FPGA和CPLD的选择需要根据具体系统的性能、成本、安全等需求进行折中,制定一个性价比高的方案具有非常重要的意义。



10.MAX7000S器件的I/O控制块共有几种工作方式?

答:

I/O控制块允许每个I/O引脚单独地配置成输入/输出和双向工作方式。



11.宏单元的触发器有几种时钟控制方式?

答:

触发器完成D型、JK型或T型等逻辑功能。



12.简述EAB的工作原理?

嵌入式阵列块是一种在输入/输出端口带有触发器的RAM电路。它由可编程设置的RAM、输入/输出D触发器、局部互联通道、控制逻辑电路和输出电路组成。EAB可以用来实现不同的存储功能和复杂的逻辑功能。

第章EDAVHDL的顺序语句只能出现在进程(PROCESS)、过程(PROCEDURE)和函数(FUNCTION)中,是按照书写顺序自上而下,一条一条执行。

12.VHDL的进程(process)语句是由顺序语句组成的,但其本身却是并行执行的。

3.7.2选择

1、一个实体可以拥有一个或多个??(C、D)

A.?设计实体?????????????

B.结构体???????????????

C.?输入?????????????

D.输出

2、在VHDL中用(D)来把特定的结构体关联到一个确定的实体。

A.?输入???????????

B.输出???????????

C.?综合????????????

D.配置

3、在下列标识符中,(C)是VHDL合法的标识符

A.?4h_add??????????

B.h_adde_?????????????

C.?h_adder????????????

D._h_adde

4、在下列标识符中,(D)是VHDL错误的标识符

A.?4h_add???????????

B.h_adde4?????????????

C.?h_adder_4??????????

D._h_adde

5、在VHDL中为目标变量赋值符号为?(C)

A.?=???????????

B.<=??????????????

C.?:=????????????D.?=:?

6VHDL语言中,用语句(B)表示检测到时钟clk的上升沿

A.?clk’event???????????????????????

B.clk’eventandclk=‘1’

C.?clk=‘0’????????????????????????

D.?clk’eventandclk=‘0’

7、在VHDL的并行语句之间中,只能用(C)来传送信息

A.变量????????????????

B.?变量和信号????????????????

C.信号???????????????????

D.?常量

8、VHDL块语句是并行语句结构,它的内部是由(A)语句构成的

A.并行和顺序????????????

B.?顺序?????????????????

C.并行???????????????

D.?任何

9、若S1为”1010”,S2为”0101”,下面程序执行后,outValue输出结果为(D)。



libraryieee;

useieee.std_logic_1164.all;



entityexis

???port(S1:instd_logic_vector(3downto0);

??????S2:instd_logic_vector(0to3);

???????outValue:outstd_logic_vector(3downto0));

Endex;

architecturertlofexis

begin

?????outValue(3downto0)<=(S1(2downto0)andnotS2(1to3))&?(S1(3)xorS2(0));

endrtl;

?

?A、“0101”??????

B、“0100”??????

C、“0001”????????

D、“0000”???

10、假设输入信号a=“6”,b=“E”,则以下程序执行后,c的值为(B)。

????

entitylogicis

?????port(?????a,b:in?std_logic_vector(3downto0);?

??????????c:out??????std_logic_vector(7downto0));

?????endlogic;

?????architectureaoflogicis

?????begin

??????????c(0)<=nota(0);

??????????c(2downto1)<=a(2downto1)?and?b(2downto1);?

??????????c(3)<=''1''?xor?b(3);

??????????c(7downto4)<="1111"when(a(2)=b(2))?else?"0000";

?????enda;



?A?“F8”???

B“FF”????

C“F7”??????

D“0F”

11.下图中,正确表示INOUT结构的是(C)



12.进入进程,即激活进程,需要激励(C)

A进程外的变量

B进程内的变量

C进程的敏感信号

D进程外的信号





第章EDA



第章EDA1、同步计数器和异步计数器比较,同步计数器的显著优点是(A)

A.工作速度高

B.触发器利用率高

C.电路简单

D.不受时钟CP控制。

2、下列逻辑电路中为时序逻辑电路的是(C)

A.变量译码器

B.加法器

C.数码寄存器

D.数据选择器

3、N个触发器可以构成最大计数长度(进制数)为(D)的计数器。

A.N

B.2N

C.N2

D.2N

4、N个触发器可以构成能寄存(B)位二进制数码的寄存器。

A.N-1

B.N

C.N+1

D.2N

5.T触发器特性方程(C)。

A

B

C

D

6.优先编码器的编码(A)。

A是唯一的

B不是唯一的

C有时唯一,有时不唯一

DA、B、C



第章EDA1、除了块语句(BLOCK)之外,下列语句同样也可以将结构体的并行描述分成多个层次的是(A)

A.元件例化语句(COMPONENT)

B.生成语句(GENERATE)

C.报告语句(REPORT)

D.空操作语句(NULL)

2、以下不是生成语句(GENERATE)组成部分的为(D)

A.生成方式

B.说明部分

C.并行语句

D.报告语句(REPORT)

3、断言语句对错误的判断级别最高的是(D)。

A.Note(通报)

B.Warning(警告)

C.Error(错误)

D.Failure(失败)

4、下列选项中不属于过程调用语句(PROCEDURE)参量表中可定义的流向模式的为(D)

A.IN

B.INOUT

C.OUT

D.LINE

5、下列选项中不属于等待语句(WAIT)书写方式的为(C)。

AWAIT

BWAITON信号表

CWAITUNTILL条件表达式

DWAITFOR时间表达式

6、下列选项中不属于NEXT语句书写方式的为(D)。

ANEXT

BNEXTLOOP标号

CNEXTLOOP标号WHEN条件表达式

DNEXTLOOP标号CASE条件表达式

7.下列选项中不属于EXIT语句书写方式的为(D)。

AEXIT

BEXITLOOP标号

CEXITLOOP标号WHEN条件表达式

DEXITLOOP标号CASE条件表达式

8.下列语句中完全不属于顺序语句的是(C)

AWAIT语句

BNEXT语句

CASSERT语句

DREPORT

9.下列语句中不完全属于并行语句的是(C)。

AREPORT语句

BBLOCK语句

CASSERT语句

DREPORT

10.以下不是并行断言语句(ASSERTE)组成部分的为(D)。

AASSERT

BREPORT

CSEVERITY

DEXIT





第章EDA’High

B.Type_name’Low

C.Type_name’Middle

D.Type_name’Left

3、下列属性描述中不属于函数数组属性的是(C)

A.Array_name’LEFT(n)

B.Array_name’High(n)

C.Array_name’Middle(n)

D.Array_name’Low(n)

4、下列属性描述中不属于函数信号属性的是(C)

A.Signal_name’EVENT

B.Signal_name’ACTIVE

C.Signal_name’FIRST_EVENT

D.Signal_name’LAST_ACTIVE

5、下列属性描述中不属于信号属性的是(D)

A.带DELAYED(time)属性的信号

B.带STABLE(time)属性的信号SIGNAL

C.带QUIET(time)属性的信号SIGNAL

D.带TRANSITION属性的信号SIGNAL

6、下列过程不属于仿真周期的是(C)

A.敏感条件成立或等待条件成立

B.更新进程中的信号值

C.退出被激活的进程

D.执行每一个被激活的进程,直到被再次挂起





第章EDA

















































献花(0)
+1
(本文系紫蝴蝶2012首藏)