配色: 字号:
文献综述-基于VHDL的全数字锁相环的设计
2012-11-01 | 阅:  转:  |  分享 
  








东海科学技术学院

毕业设计(论文)文献综述





题目:基于VHDL的全数字锁相环的设计

系:机电工程系

学生姓名:

专业:

班级:

指导教师:

起止日期:









文献综述

一、前言

随着数字技术的不断发展和计算机的普及应用,全数字锁相环ADPLL(AllDigitalPhase-LockedLoop)和电子设计自动化EDA(ElectronicDesignAutomation)ADPLL),是指环路部件全部数字化,采用数字鉴相器、数字环路滤波器、数控振荡器构成锁相环路,并且系统中的信号全是数字信号。具备可靠性高、工作稳定、调节方便等优点。它的环路带宽和中心频率编程可调,易于构建高阶锁相环,并且应用在数字系统中时,不需A/D及D/A转换[1]。

电子设计自动化(EDA)是一种实现电子系统或电子产品自动化设计的技术,它与电子技术、微电子技术的发展密切相关,吸收了计算机科学领域的大多数最新研究成果,以高性能的计算机作为工作平台,是20世纪90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言HDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作[11]。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,在EDA工具的帮助下和应用相应的FPG刀CPLD器件,就可以得到最后的设计结果。尽管目标系统是硬件,但整个设计和修改过程如同完成软件设计一样方便和高效。当然,这里的所谓EDA主要是指数字系统的自动化设计,因为这一领域的软硬件方面的技术已比较成熟,应用的普及程度也已比较大。而模拟电子系统的EDA正在进入实用,其初期的EDA工具不一定需要硬件描述语言。此外,从应用的广度和深度来说,由于电子信息领域的全面数字化,基于EDA的数字系统的设计技术具有更大的应用市场和更紧迫的需求性。

二、主题

锁相环PLL(phase-lockedloop),它于1932年由Debellescise最先发明,为无线电发射中使频率较为稳定的一种方法,主要有VCO(压控振荡器)和PLLIC,压控振荡器给出一个信号,一部分作为输出,另一部分通过分频与PLLIC所产生的本振信号作相位比较,为了保持频率不变,就要求相位差不发生改变,如果有相位差的变化,则PLLIC的电压输出端的电压发生变化,去控制VCO,直到相位差恢复达到锁频的目的能使受控振荡器的频率和相位均与输入信号保持确定关系的闭环电子电路。锁相环由鉴相器、环路滤波器和压控振荡器组成。鉴相器用来鉴别输入信号Ui与输出信号Uo之间的相位差,并输出误差电压UdUd中的噪声和干扰成分被低通性质的环路滤波器滤除,形成压控振荡器(VCO)的控制电压UcUc作用于压控振荡器的结果是把它的输出振荡频率fo拉向环路输入信号频率fi,当二者相等时,环路被锁定,称为入锁。维持锁定的直流控制电压由鉴相器提供,因此鉴相器的两个输入信号间留有一定的相位差。锁相环最初用于改善电视接收机的行同步和帧同步,以提高抗干扰能力。20世纪50年代后期随着空间技术的发展,锁相环用于对宇宙飞行目标的跟踪、遥测和遥控。60年代初随着数字通信系统的发展,锁相环应用愈广,例如为相干解调提取参考载波、建立位同步等。具有门限扩展能力的调频信号锁相鉴频器也是在60年代初发展起来的。在电子仪器方面,锁相环在频率合成器和相位计等仪器中起了重要作用。模拟锁相环主要由相位参考提取电路、压控振荡器、相位比较器、控制电路等组成。压控振荡器输出的是与需要频率很接近的等幅信号,把它和由相位参考提取电路从信号中提取的参考信号同时送入相位比较器,用比较形成的误差通过控制电路使压控振荡器的频率向减小误差绝对值的方向连续变化,实现锁相,从而达到同步。数字锁相环主要由相位参考提取电路、晶体振荡器、分频器、相位比较器、脉冲补抹门等组成。分频器输出的信号频率与所需频率十分接近,把它和从信号中提取的相位参考信号同时送入相位比较器,比较结果示出本地频率高了时就通过补抹门抹掉一个输入分频器的脉冲,相当于本地振荡频率降低;相反,若示出本地频率低了时就在分频器输入端的两个输入脉冲间插入一个脉冲,相当于本地振荡频率上升,从而达到同步。Very-High-SpeedIntegratedCircuitHardwareDescriptionLanguage)它是在70一80年代中由美国国防部资助的VHSIC(超高速集成电路)项目开发的产品,诞生于1982年。在1987年底,VHDL被IEEE(TheInstituleorEleetriealandEleetroniesEngineers)和美国国防部确认为标准硬件描述语言。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。而VerilogHDL是由GDA(GatewayDesignAutomation)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器VerilogXL,获得了巨大的成功,从而使得VerilogHDL迅速得到推广应用[2]。1989年CADENCE公司收购了GDA公司,使得VerilogHDL成为了该公司的独家专利。1990年CADENCE公司公开发表了VerilogHDL,并成立LVI组织以促进VerilogHDL成为IEEE标准,即IEEEStandard1364-1995。1996年IEEE1076.3成为VHDL综合标准[2]。

VHDL主要用于描述数字系统的结构、行为、功能和接口,非常适用于可编程逻辑芯片的应用设计。与其它的HDL相比,VHDL具有更强的行为描述能力,从而决定了它成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。就目前流行的EDA工具和VHDL综合器而言,将基于抽象的行为描述风格的VHDL程序综合成为具体的FPGA和CPLD等目标器件的网表文件己不成问题。

VHDL语言在硬件设计领域的作用将与C和C料在软件设计领域的作用一样,在大规模数字系统的设计中,它将逐步取代如逻辑状态表和逻辑电路图等级别较低的繁琐的硬件描述方法,而成为主要的硬件描述工具,它将成为数字系统设计领域中所有技术人员必须掌握的一种语言。VHDL和可编程逻辑器件的结合作为一种强有力的设计方式,将为设计者的产品上市带来创纪录的速度。

VHDL语言与其它HDL语言相比有一些自己的特色,具体有以下几方面的优点:

1、设计功能强、方法灵活、支持广泛。VDHL语言可以支持自上而下(ToP-Down)的设计方法,它具有功能强大的语言结构,可用简洁明确的代码描述来进行复杂控制逻辑的设计,可以支持同步电路、异步电路、以及其它随机电路的设计。其范围之广是其它HDL语言所不能比拟的。此外,VHDL语言可以自定义数据类型,这也给编程人员带来了较大的自由和方便。

2、系统硬件描述能力强。VHDL语言具有多层次的设计描述功能,可以从系统的数学模型直到门级电路,支持设计库和可重复使用的元件生成,它支持阶层设计且提供模块设计的创建。VHDL语言能进行系统级的硬件描述是它的一个最特出的优点。

3、可以进行与工艺无关编程。VHDL语言设计系统硬件时,没有嵌入描述与工艺相关的信息,不会因为工艺变化而使描述过时。与工艺技术有关的参数西北工业大学硕士学位论文可通过VHDL提供的类属加以描述,工艺改变时,只需修改相应程序中的类属参数即可。

4、VHDL语言标准、规范,易于共享和复用。VHDL既是IEEE承认的标准,故VHDL的设计描述可以被不同的EDA设计工具所支持。从一个仿真工具移植到另一个仿真工具,从一个综合工具移植到另一个综合工具,从一个工作平台移植到另一个工作平台去执行。这意味着同一个VHDL设计描述可以在不同的设计项目中采用,方便了设计成果的设计和交流。另外,VHDL语言的语法比较规范,从而其可读性比较好,给阅读和使用都带来了极大的好处。

5、方便ASIC移植。VHDL语言的效率之一,就是如果你的设计是被综合到一个CPLD或FPGA的话,则可以使你设计的产品以最快速度上市。当产品的产量达到相当的数量时,采用VHDL进行的设计可以很容易转成用专用集成电路(ASIC)来实现,仅仅需要更换不同的库重新进行综合。由于VHDL是一个成熟的定义型语言,可以确保ASIC厂商交付优良品质的器件产品。此外,由于工艺技术的进步,需要采用更先进的工艺时,仍可以采用原来的VHDL代码[6]。

VHDL是一种硬件描述语言,它所描述的对象是具体的硬件电路。这就决定了它与普通的程序设计语言有着很大的不同。普通的程序设计语言一般是顺序执行的,而VHDL语言则有较多的并行执行部分。这是由硬件电路的并行性所决定的。作为描述硬件电路的一种设计语言,VHDL必然要有相应的语句去描述硬件电路的并行性。因而在编写VHDL语言程序时,就必须要考虑到这一点,否则若按普通的编程思路去编写VHDL语言程序,就会使代码的效率降低,甚至不能实现预定的功能。编程时,思路中要有相应的电路概念,然后按照相应的电路编写程序,这样的程序系统易于综合,优化,最后的综合的电路结果也比较理想。

三、总结

对于本毕设的用VHDL设计全数字锁相环,首先要充分理解锁相环PLL、全数字锁相环ADPLL、电子设计自动化(EDA)、超高速集成电路硬件描述语言VHDL等基本概念,还要掌握高频模拟电子技术,通信原理以及硬件电路的制作方法,电子系统硬件调试基本方法以及调频发射系统的工作原理。通过在设计的过程中对文献等资料的查找,使自己在资料搜集与分类中对资料的归纳、分析、综合运用能力上得到了极大的提高,为今后从事各种科研活动起了极大帮助的铺垫作用。

参考文献

[1]康华光,陈大钦.电子技术基础模拟部分[M],(第四版).北京:高等教育出版社,1999

[2]蔡美琴著.单片机原理及应用[M],电子工业出版社,2000

[3]侯伯亨著.VHDL硬件描述语言与数字逻辑电路设计[M],西安电子科技大学出版社,2002

[4]蔡明生.电子设计[M].北京:高等教育出版社,2004

[5]阎石.数字电子技术基础[M](第四版).高等教育出版社,1998

[6]曾繁泰陈美金.VHDL程序设计.北京:清华大学出版社,2000

[7]辛春艳.VHDL硬件描述语言[M].国防工业出版社,2002

[8]北京理工大学ASIC研究所.VHDL语言100例详解[M].清华大学出版社,1999

[9]潘松.电子设计自动化(EDA)()[J]2004

[10]杨树莲.现代EDA技术的发展[J].科技情报开发与经济,2006

[11]朱小海.浅谈EDA技术[J].职业时空,2007

[12]王玉梅.EDA技术的发展与应用[J].山东纺织经济,2005















































献花(0)
+1
(本文系朽木轩首藏)