配色: 字号:
modelsim使用教程
2012-11-05 | 阅:  转:  |  分享 
  
Modelsim6.0使用教程Modelsim简介 Modelsim仿真工具是Model公司开发的。它
支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执
行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比Quartu
s自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。 ModelSim分几种不同的版本:SE、PE和OEM,其中集成
在Actel、Atmel、Altera、Xilinx以及Lattice等FPGA厂商设计工具中的均是其OEM版本。比如为Alte
ra提供的OEM版本是ModelSim-Altera,为Xilinx提供的版本为ModelSimXE.SE版本为最高级版本,在
功能和性能方面比OEM版本强很多,比如仿真速度方面,还支持PC、UNIX、LIUNX混合平台.为什么要学Models
im?1.Modelsim是专业的HDL语言仿真器,比Quartus自带的仿真器功能强大的多.2.Quartussimu
lator不支持Testbench,只支持波形文件.vwfvwf文件全称是矢量波形文件(VectorWaveformFil
e),是QuartusII中仿真输入、计算、输出数据的载体。一般设计者建立波形文件时,需要自行建立复位、时钟信号以及控制和输入数
据、输出数据信号等。其中工作量最大的就是输入数据的波形录入。比如要仿真仅1KB的串行输入数据量,则手工输入信号的波形要画8000个
周期,不仅费时费力而且容易出错怎样入门?对于初学者,modelsim自带的教程是一个很好的选择,在Help->SEPDF
Documentation->Tutorial里面.它从简单到复杂、从低级到高级详细地讲述了modelsim的各项功能的使用,简
单易懂。Modelsim的安装 同许多其他软件一样,ModelsimSE同样需要合法的License,通常我们用Ken
gen产生license.dat。⑴解压安装工具包开始安装,安装时选择Fullproduct安装。当出现InstallH
ardwareSecurityKeyDriver时选择否。当出现AddModelsimToPath选择是。出现Mode
lsimLicenseWizard时选择Close。⑵在C盘根目录新建一个文件夹flexlm,用Keygen产生一个l
icense.dat,然后复制到该文件夹下。⑶修改系统的环境变量。右键点击桌面我的电脑图标,属性->高级->环境变量->(
系统变量)新建。按下图所示内容填写,变量值内如果已经有别的路径了,请用“;”将其与要填的路径分开。LM_LICENSE_FILE
=c:\flexlm\license.dat使用Modelsim进行仿真Modelsim运行方式有4种:
用户图形界面模式交互式命令行模式不显示modelsim的可视化界面,仅通过命令控制台输入的命令完成所有工作Tcl和宏模式
编写可执行扩展名为do或者tcl语法文件批处理模式其所有操作都在后台进行,用户看不到modelsim的界面,也不需要交互式输
入命令。当工程很大,文件比较多时,用批处理比较方便。直接运行批处理文件,在后台调用modelsim,执行modelsim的脚本文件
.do,完成操作功能仿真功能仿真需要的文件1.设计HDL源代码:可以使VHDL语言或Verilog语言。2.测试激
励代码:根据设计要求输入/输出的激励程序3.仿真模型/库:根据设计内调用的器件供应商提供的模块而定,如:FIFO、ADD_SUB
等仿真步骤以4位计数器为例给出详细步骤1.启动modelsim软件先在c盘建立文件夹count4,在modelsi
m中选择File->ChangeDirectory,在弹出的Choosefolder对话框中设置目录路径为c:/count
42.建立工程在modelsim中建立project,选择File->New->Project.在ProjectNam
e栏中填写你的项目名字,建议和你的顶层文件名字一致。ProjectLocation是你的工作目录,你可通过Brose按钮来选择或
改变。DdfaultLibraryName可以采用工具默认的work。Workspace窗口的library中就会出现work
库.3.为工程添加文件工程建立后,选择AddExsitingFile后,根据相应提示将文件加到该Proj
ect中这里是count4.v和其测试向量count_tp.v,源代码如下:4.编译文件编译(包括源代码和库文
件的编译)。编译可点击Comlile?ComlileAll来完成。5.装载文件(1)双击libray中work中的cou
nt_tp装载(2)点击simulate–startsimulation按右图设置,点击ok6.开始仿真点击wo
rkspace下的sim,点击count_tp,选择add?addtowave然后点run–all,开始仿真
7.退出仿真在仿真调试完成后退出仿真,在主窗口中选择simulate?endsimulation补充:(1)也可
以不加testbench,仿真步骤跟前面相似,装载文件时双击count4在sim中点击count4,add?addtow
ave对输入信号clk,reset编辑测试波形点击run-all(2)我们可以在modelsim内直接编
写TestbenchModelsim提供了很多Testbench模板,我们直接拿过来用可以减少工作量。点View->Source->ShowLanguageTemplates然后会出现一个加载工程,接着你会发现在刚才的文档编辑窗口左边出现了一个LanguageTemplates窗口
献花(0)
+1
(本文系慕容柠檬首藏)