分享

vhdl 与门

 东山宝哥 2011-04-29
library ieee;
use ieee.std_logic_1164.all;
entity dd is
 port(A:in std_logic;
   B:in std_logic;
   Q:out std_logic);
end dd;
architecture behave of dd is
begin
Q<=A and B;
end behave;

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多