分享

漫谈“独臂神通”PWM(1):用1根管脚、1个电阻和1个电容实现DAC

 回家的梦想 2021-12-03

PWM可以算是数字电路中的“独臂”神通,“独臂” - 只需一根线;“神通” - 在很多关键的应用中起到栋梁的作用。PWM(脉宽调制 Pulse Width Modulation)从字面意思上讲它是一种“调制”方式,调制就意味着在某些载波信号上携带了某些的信息,通过解调的过程就可以得到其携带的信息,这些信息的属性由PWM的产生端定义,总之在这一根仅仅发生0、1交替变化的信号线上可以做出很多文章。

今天我们就看看如何通过PWM的方式实现数字到模拟变换的功能,也就是通过改变一根管脚的输出脉冲,得到模拟世界的某种波形。

首先PWM是由一串连续行走在某输出管脚上的0、1交替出现的信号组成,我们称高电平1为ON,低电平0为OFF,ON+OFF为一个周期T,ON的持续时间除以周期T就为占空比 - Duty Cycle,看下面的两个图。

文章图片1

高电平1为ON,低电平0为OFF

文章图片2

占空比(Duty Cycle)为高电平持续时间除以周期

如果发送端用脉冲的占空比来传递“电压值”,也就是将某个数字的电压值对脉冲的占空比进行调制,就可以在接收端通过RC低通滤波器(也就是解调器)从调制脉宽的数据流中得到需要的模拟电压值,从而达到DAC的目的。看下面的动图 - 假设脉冲的占空比为0的时候(整个周期全部为OFF - 低电平)代表电压值为0,占空比为100%的时候(整个周期全部为ON - 高电平)代表电压值为最高电压,比如3.3V,则40%的占空比就是40%*3.3V。占空比改变-每个周期的脉宽改变,也就意味着输出的电压值在改变。

文章图片3
文章图片4

用脉宽的改变携带电压值的变化信息

文章图片5

用一个电阻和电容组成的低通滤波就可以将PWM中携带的电压信息“解调”成模拟的电压值

那在PWM里是如何对应转换率和转换精度这个两个指标呢?

看一下下面的波形:

  • PWM-DAC的转换频率相当于脉冲的重复频率
  • PWM-DAC的分辨率相当于脉冲宽度相对于整个周期的精度,举例如果一个最小的脉冲ON的时间为5ns(可以用100MHz的时钟计数产生),PWM脉冲的周期为5ns x 256 = 1.28us,则这个PWM-DAC相当于是8位的DAC。
文章图片6

也就是说如果你用100MHz的时钟来通过PWM的方式做一个8位的DAC,最高的转换频率也只能到1/1.28us ~ 781KHz分辨率高则转换率降低,因此用PWM做的DAC一般用于生成非常低频的信号乃至直流信号。

下面的图为经过一个最简单的由一个电阻R和一个电容C构成的低通滤波以后得到的模拟信号,可以看到在输出的模拟信号上还是有很高频率的纹波。

文章图片7

通过RC低通滤波得到的模拟输出信息

文章图片8

如果要进一步平滑输出模拟信号上的波纹,可以通过加入电感或者用运算放大器构成的有源低通滤波器来对纹波实现更强的抑制。

是不是很简单?只需一个R和一个C就能得到你想生成的模拟信号,做一个简单的任意波形发生器很简单啊。

有的朋友会问,很多MCU内部都有DAC啊,干嘛不用内部的DAC?

苏老师答:如果有的话自然不需要折腾PWM了,如果没有,只需要一根管脚和俩器件就能实现这样的功能还是很有用的,再说了,也许你的系统中需要多个DAC的功能,而你的MCU内部没有足够的DAC,且你也不想或者没有足够的管脚外挂一个单独的DAC器件(需要I2C或SPI总线连接),PWM方式是个非常不错的选择哦。

如果你用的是FPGA或CPLD,里面根本没有DAC,而你又需要一个,拿出一个管脚来产生PWM就会非常666。

理解用PWM生成DAC的机制、局限,在关键的时候也许就能起到意料不到的结果。

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多