分享

debussy和modelsim协同仿真(VHDL)

 jazzie 2008-09-03

1、编辑modelsim根目录下的modelsim.ini文件,将; Veriuser = veriuser.sl 更换为Veriuser = novas_fli.dll。

2、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas_fli.dll 拷贝至C:\Modeltech_6.1d\win32中

3、将C:\Novas\Debussy\share\PLI\modelsim_fli54\WINNT下的novas.vhd拷贝至工程所在目录

4、打开modelsim,在工程所在目录新建一个novas的LIB,然后编译novas.vhd文件。

5、在testbentch中增加library novas;use novas.pkg.all;

6、在testbentch中添加
  debussy_debug:process
  begin    
    fsdbDumpfile("**.fsdb");
    fsdbDumpvars(0,"顶层文件名(有testbench的话即为testbench名)");
    wait;
  end process debussy_debug;
7、编译整个工程,然后仿真
8、打开debussy, import design加载文件,设置好顶层文件,打开nWARE,加入信号即可

为了更加方便的使用DEBUSSY,我们可以编写DO文件来达到这一目的:

1、先新建dc16_tca目录。比如在E:/WORK/TEMP里新建该目录,把源程序都放在dc16_tca/soure里头。

2、编写tca.do文件:
下面是以TCA为例说明一下这个步骤:
tca.do:
#start
#建立并编译novas库
  vlib novas
  vmap novas novas
  vcom -work novas D:/WORK/temp/dc16_TCA/source/novas.vhd
#建立并编译work库
  vlib work
  vcom -work work d:/work/temp/dc16_tca/source/dc16_constant_def.vhd
  vcom -work work d:/work/temp/dc16_tca/source/dc16_tca.vhd
  vcom -work work d:/work/temp/dc16_tca/source/dc16_tca_tb.vhd
  vsim dc16_tca_tb
#仿真运行时间  
  run 10000us
  quit
#end

3、然后在dos界面先进入到dc16_tca目录里,比如E:/WORK/TEMP/dc16_tca   
然后再输入>c:\modeltech_6.1b\win32/vsim -c -do tca.do
  这里假设modelsim装在C盘
个人感觉modelsim的仿真速度用DO文件的方式比用modelsim的GUI方式要快很多。而且每次只要输入一次命令就可以产生.FSDB文件,真的不错。各位可以体会一下。

4、打开debussy再打开nWARE加入*.*.fedb文件即可看到波形 大功告成!!

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多