分享

verilog仿真环境的搭建3:Debussy的设置

 _小女子_ 2011-09-07


Debussy是Novas公司出品的调试工具,在5.4V9版之后就只发行Linux了,在这里使用的是Win32上的最后一个版本。

在前面文章中,已经可以调用modelsim进行仿真了,要配合Debussy使用的话,就需要在仿真中生成波形文件供调试。Debussy可以使用.fsdb文件,大小要比.vcd文件要小不少。

波形文件的生成

首先,将Debussy安装目录下share\PLI\modelsim_pli54\WINNT目录中的Novas.dll文件复制到modelsim安装目录下。

然后,在modelsim.ini的[vsim]标签下增加一行,用来在仿真时以供调用。

   1: Veriuser = novas.dll

接着,在仿真的顶层模块中添加如下语句:

   1: initial
   2: begin
   3: $fsdbDumpfile("wave_out.fsdb");
   4: $fsdbDumpvars;
   5: end

这样,在仿真时就会在script目录生成wave_out.fsdb波形文件。

如果波形文件比较大的话,打开时会占用很多内存,这时可以把波形文件分段输出,调试时只要载入指定时间段的波形文件就可以了,将第三行语句替换为如下语句:

   1: $fsdbAutoSwitchDumpfile(25, "test.fsdb", 150);

这条语句表示将fsdb文件分成每个大小为25MB的文件,最多输出150个文件。每个文件的仿真时间范围记录在test.log文件中。

调用Debussy

启动Debussy时,可以使用命令行方式,同时载入项目文件。结合命令行调用Modelsim,可以写出如下的两个批处理文件。

sim.bat

   1: d:/program/Modeltech_6.3c/win32/vsim.exe -c -do sim.do
   2: d:/program/Novas/Debussy/bin/debussy.exe  -f  run.f

vsim中的-c参数是指定采用命令行模式,-do则是制定采用.do脚本模式。debussy的-f参数是在debussy启动时就调入run.f中指定的文件。

sim2.bat则是去掉了第二行,只是调用modelsim进行仿真。然后在已经启动的debussy中使用Shift+L快捷键重新调入波形文件就可以了。

Debussy的使用

Debussy有较强的单步调试的功能,我曾经尝试过,但没有能够使用的起来。现在使用的主要是波形文件的查看以及源代码的分析。

点击图片看大图

在如图所示的代码界面,双击信号名就会在下面列出驱动这个信号的信号以及信号的负载列表,如果只有一个信号驱动这个信号的话,那就会直接进行跳转,并能通过工具栏中的按钮寻找定义信号的位置以及信号的调用位置。这样,在查看代码时,可以很快的查看到信号的流向了。

点击工具栏中的”New Waveform”按钮,可以调出波形分析工具。用工具栏中的打开文件按钮打开波形文件,并利用”Get Signal”按钮选择需要查看的信号(或者直接从选择对话框中拖入,甚至可以从代码界面的源程序中直接拖入,拖得时候是按鼠标中键,而不是左键或右键),就会显示生成的信号了。如下图所示:

verilog6

点击图片看大图

在波形界面中,可以利用一些简单的快捷键来方便的查看波形,其中z和Z是放大缩小,c是改变信号的显示颜色,Shift+L是重新载入波形。其他还有很多功能有待探索。

Debussy是个很强悍的工具,我用到的可能只有它的一小部分功能,如果有其他使用经验的话,欢迎一起分享。

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多