分享

4选1多路选择器(case-when实现)

 BUPT-BYR 2010-12-20
4选1多路选择器(case-when实现):
 
LIBRARY IEEE;
USE IEEE.STD_LOGICD_1164.ALL;
 
ENTITY chooes IS
PORT( S1,S0: IN STD_LOGIC;
             a,b,c,d: IN STD_LOGIC);
                      Z: OUT STD_LOGIC
          );
END chooes;
 
ARCHITECTURE art1 OF chooes IS
SIGNAL s: STD_LOGICVECTOR(1 DOWNTO 0);
BEGIN
     PROCESS(S1,S0,a,b,c,d)
     BEGIN
           s<=S1 & S0;
           CASE S IS
                  WHEN "00" => Z<=a;
                  WHEN "01" => Z<=b;
                  WHEN "10" => Z<=c;
                  WHEN "11" => Z<=d;
                  WHEN OTHERS => NULL;
            END CASE;
    END PROCESS;
END art1;  

    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多