分享

Fan-Out Packaging Gets Competitive

 我的技术大杂烩 2023-10-11 发布于广东

Fan-out wafer-level packaging (FOWLP) is a key enabler in the industry shift from transistor scaling to system scaling and integration. The design fans out the chip interconnects through a redistribution layer instead of a substrate. Compared to flip-chip ball grid array (FCBGA) or wire bonds, it creates lower thermal resistance, a slimmer package, and potentially lower costs.
扇出晶圆级封装 (FOWLP) 是行业从晶体管微缩向系统微缩和集成转变的关键推动因素。该设计通过重新分布层而不是基板将芯片互连扇出。与倒装芯片球栅阵列 (FCBGA) 或引线键合相比,它具有更低的热阻、更纤薄的封装以及潜在的更低成本。

Yet, if the hope is to reduce costs through eliminating substrates, the reality is that a lack of substrates can cause die shifts and warpage, undercutting the savings. Engineers are addressing die shift through improvements in lithography, pick-and-place, and molding operations — either thermocompression or laser bonding.
然而,如果希望通过消除基板来降低成本,那么现实情况是,缺少基板可能会导致芯片移位和翘曲,从而削弱成本节约。工程师们正在通过改进光刻、取放和成型操作(热压或激光键合)来解决芯片移位问题。

“It’s a great technology for one or two die,” said John Park, product management director for IC packaging at Cadence Design Systems. “But once you get to half a dozen chiplets or more, the limiting factor is die shift. Obviously, the more die you have, each one gets slightly shifted by a degree or two, and then you put six together and nothing connects anymore.”
Cadence Design Systems 的 IC 封装产品管理总监 John Park 表示:“对于一两个芯片而言,这是一项伟大的技术。” “但是一旦你有了六个或更多的小芯片,限制因素就是芯片移位。显然,你拥有的骰子越多,每个骰子都会稍微移动一两度,然后你把六个骰子放在一起,就不再有任何联系了。”

Nevertheless, issues such as long lead times for package substrates are accelerating FOWLP adoption. “Mobile and high performance computing/networking are a few areas where we see growing adoption beyond the low pin count, power management fan-out wafer level structure that has been traditionally the main FOLWP application,” said Mark Gerber, senior director, engineering and technical marketing at ASE.
然而,封装基板交货时间长等问题正在加速 FOWLP 的采用。 “除了传统上主要的 FOLWP 应用的低引脚数、电源管理扇出晶圆级结构之外,移动和高性能计算/网络是我们看到越来越多采用的几个领域,”工程和高级总监 Mark Gerber 说道。 ASE 的技术营销。

On balance, FOWLP is advancing as a solution. In Apple’s M1 Ultra chip, TSMC’s InFO fan-out process was chosen over a substrate-based process for its latest application processors (see figure 1). This is part of a broader trend. The market for fan-out packaging is expected to grow at a 15% compound annual growth rate, reaching $3.4B in 2026, according to Yole Développement. Yole analysts expect 93% of that capacity to be wafer-level packaging in 2025, and 7% to be processed at the panel level.
总而言之,FOWLP 作为一种解决方案正在不断发展。在 Apple 的 M1 Ultra 芯片中,其最新应用处理器选择了台积电的 InFO 扇出工艺,而不是基于基板的工艺(见图 1)。这是更广泛趋势的一部分。据 Yole Développement 称,扇出型封装市场预计将以 15% 的复合年增长率增长,到 2026 年将达到 $3.4B。 Yole 分析师预计,到 2025 年,其中 93% 的产能将用于晶圆级封装,7% 将用于面板级加工。

Fig. 1: State-of-the-art RDL connecting to via in TSMC’s InFO and Deca’s M-Series. Source: Deca

Fig. 1: State-of-the-art RDL connecting to via in TSMC’s InFO and Deca’s M-Series. Source: Deca
图 1:最先进的 RDL 连接到 TSMC 的 InFO 和 Deca 的 M 系列中的过孔。来源:德卡

Other products in volume production today include RF devices, power management ICs (PMICs), baseband processors, and high-end server chips. 5G should further boost the adoption of fan-out packaging because the shorter interconnects and lower inductance lead to superior RF and millimeter-wave performance.
目前量产的其他产品包括射频器件、电源管理 IC (PMIC)、基带处理器和高端服务器芯片。 5G 应进一步推动扇出封装的采用,因为更短的互连和更低的电感可带来卓越的射频和毫米波性能。

“There’s been a lot of discussion and modeling of FOWLP for millimeter wave antenna and millimeter wave packaging,” said Dr. Monita Pau, strategic marketing director for advanced packaging at Onto Innovation. “That makes the dielectric material a very important element. You must have very good mechanical properties and very low loss dielectric properties, because you’re integrating a millimeter wave antenna together with the fan-out packages. Additionally, low copper RDL roughness and lithographic techniques that adapt to topography are needed to achieve good CD uniformity of the redistribution layer, which is critical to achieving high gain and low loss transfer.”
“针对毫米波天线和毫米波封装的 FOWLP 有很多讨论和建模,”Onto Innovation 先进封装战略营销总监 Monita Pau 博士说。 “这使得介电材料成为一个非常重要的元素。您必须具有非常好的机械性能和非常低的介电性能损耗,因为您要将毫米波天线与扇出封装集成在一起。此外,需要低铜 RDL 粗糙度和适应形貌的光刻技术来实现重新分布层的良好 CD 均匀性,这对于实现高增益和低损耗传输至关重要。”

Fan out’s roots 扇出根部
Fan-outs have a long history. This packaging approach was first introduced in 2007, when Infineon devised its embedded wafer-level BGA (eWLB). But the first wave of adoption followed TSMC’s use of InFO in the iPhone 7 in 2016. “If we look at wafer level packaging in general, the smartphone has really driven that space more than any other single product,” said Jan Vardaman, president of TechSearch International.
扇出有着悠久的历史。这种封装方法于 2007 年首次推出,当时英飞凌设计了嵌入式晶圆级 BGA (eWLB)。但第一波采用浪潮是在 2016 年台积电在 iPhone 7 中使用 InFO 之后。“如果我们总体上看一下晶圆级封装,智能手机确实比任何其他单一产品都更能推动这一领域的发展,”公司总裁 Jan Vardaman 说道。技术搜索国际。

While cell phones have been the canonical use case ever since the iPhone 7, the FOWLP design also can scale up for devices like supercomputers. Newer applications include network switching products, PMICs for phones and smart watches, and AI chips.
虽然自 iPhone 7 以来手机一直是典型的用例,但 FOWLP 设计也可以扩展到超级计算机等设备。较新的应用包括网络交换产品、手机和智能手表的 PMIC 以及人工智能芯片。

For assembly in high-end applications, OSATs and foundries are coupling fan-out packaging together with a substrate. “Before people used to say you didn’t need to use a substrate, you can just directly attach it to the board, but now because of the high-density requirements, they need a substrate before it can be attached to the PCB board,” said Pau.
为了在高端应用中进行组装,OSAT 和代工厂将扇出封装与基板耦合在一起。 “以前人们说不需要使用基板,直接将其贴到板上即可,但现在由于高密度的要求,他们需要基板才能贴到PCB板上, ”保罗说。

Today’s FOWLP designs also enable a more flexible design. Gerber said, “Our Fan Out Chip on Substrate Bridge (FOCoS-B) pillar can integrate one or more die in between redistribution layers, integrating deep trench capacitors, voltage regulators, etc., in very close proximity to the active silicon circuitry. This minimizes system level loss for higher performance.”
如今的 FOWLP 设计还可以实现更灵活的设计。 Gerber 表示:“我们的扇出基板桥芯片 (FOCoS-B) 支柱可以在重新分布层之间集成一个或多个芯片,在非常靠近有源硅电路的位置集成深沟槽电容器、稳压器等。这可以最大限度地减少系统级损失,从而实现更高的性能。”

Process 过程
There are two categories of fan-out process flows, die first (also called mold first) and RDL first (see figure 2). Dies also can be placed face up or face down on the carrier wafer or panel.
扇出工艺流程有两类:先裸片(也称为先模)和先 RDL(见图 2)。芯片也可以面朝上或面朝下放置在载体晶圆或面板上。

Fig. 2: Process flows for chip first (mold first) configuration and RDL first. Source: Fraunhofer IZM

Fig. 2: Process flows for chip first (mold first) configuration and RDL first. Source: Fraunhofer IZM
图 2:先芯片(先模具)配置和先 RDL 的工艺流程。资料来源:弗劳恩霍夫 IZM

In die first, thermal release tape is applied to a carrier wafer, then the known good die (KGD) are picked and placed on the carrier. Next, overmolding is followed by carrier release, RDL formation, solder bumping, then singulation. In RDL first, the release layer again is deposited first, then the RDL, KGD positioning is followed by overmold, carrier release, solder ball deposition, and singulation.
首先在芯片中,将热剥离胶带粘贴到载体晶圆上,然后拾取已知良好的芯片 (KGD) 并将其放置在载体上。接下来,包覆成型之后是载体释放、RDL 形成、焊料凸点,然后是分割。首先在 RDL 中,再次沉积释放层,然后进行 RDL、KGD 定位,然后进行包覆成型、载体释放、焊球沉积和分割。

While fan-out starts with classic assembly techniques, it also requires non-traditional processes. “It adds things that you don’t normally see, like compression molding onto the reconstituted wafer to fill in areas, and then grinding the plastic material mold compound as opposed to backgrinding a wafer,” said Chip Greely, vice president of engineering at Promex Industries, the parent company of QP Technologies. “Then you deposit a copper redistribution layer on top of that, which gets you three factors away from what some assembly houses are comfortable with. Typically, when you’re backgrinding silicon or any of those crystal materials, they tend to granulate and wash out very easily. Mold compound tends to gum and ball up, so your grinding wheel gets loaded up with plastic, requiring a secret sauce to remove it.” Nevertheless, he says, with enough devices, the economies of scale work.
虽然扇出始于经典的组装技术,但它也需要非传统的工艺。 Promex 工程副总裁 Chip Greely 表示:“它增加了您通常看不到的东西,例如在重组晶圆上压缩成型以填充区域,然后研磨塑料材料模塑料,而不是背面研磨晶圆。” Industries,QP Technologies 的母公司。 “然后你在上面沉积一个铜重新分布层,这会让你远离一些装配厂所满意的三个因素。通常,当您对硅或任何这些晶体材料进行背面研磨时,它们很容易颗粒化并被洗掉。模塑料往往会粘在一起并成球,因此您的砂轮上会沾满塑料,需要一种秘密酱汁才能将其去除。”尽管如此,他表示,只要有足够的设备,规模经济就会发挥作用。

The reason fan-out has gained such popularity relative to fan-in WLP is because it accommodates more I/O connections. The state-of-the-art fan out package today features RDLs of up to five-layers (see figure 3), with down to 2µm lines and spaces (the width and pitch of metal traces). Scaling to the micron interconnect range means the RDL process is beginning to look more like on-chip dual damascene integration.
扇出相对于扇入 WLP 如此受欢迎的原因是它可容纳更多 I/O 连接。目前最先进的扇出封装具有高达五层的 RDL(见图 3),线路和间距(金属迹线的宽度和间距)低至 2μm。扩展到微米互连范围意味着 RDL 工艺开始看起来更像片上双镶嵌集成。

Fig. 3: Redistribution layers consist of copper traces in polyimide dielectric. Source: Lam Research

Fig. 3: Redistribution layers consist of copper traces in polyimide dielectric. Source: Lam Research
图 3:重新分布层由聚酰亚胺电介质中的铜迹线组成。资料来源:泛林研究

For example, Amkor recently revealed an embedded trace RDL (ETR) for its S-SWIFT fan-out technology that enables scaling to less than 2/1 line/space and vias.[1] The new process integrates an ASIC with two high-bandwidth memory (HBM) chips. Innovations include a through-mold copper pillar, high-density RDL, uniform dielectric coating, optimized copper plating, CMP, and wet etch to enable a simpler, more extendible process than its process of record (POR).
例如,Amkor 最近为其 S-SWIFT 扇出技术推出了嵌入式走线 RDL (ETR),可将线/间距和过孔缩放至小于 2/1。 [1]新工艺将 ASIC 与两个高带宽内存 (HBM) 芯片集成在一起。创新包括通模铜柱、高密度 RDL、均匀介电涂层、优化镀铜、CMP 和湿法蚀刻,以实现比其记录工艺 (POR) 更简单、更可扩展的工艺。

Amkor Vice President SangHyun Jin and his team improved on the POR, a semi-additive process (see figure 4a). Process changes were explored to overcome potential for high AR trace collapse, photoresist residue in vias and sidewall etch issues.
Amkor 副总裁 SangHyun Jin 及其团队改进了 POR,这是一种半加成工艺(见图 4a)。我们探索了工艺改变,以克服高 AR 迹线塌陷、通孔中光刻胶残留和侧壁蚀刻问题的可能性。

The Amkor team first developed a dual-damascene process (figure 4b) that embeds the copper trace in a polymer layer. This change improves adhesion of the RDL to substrate and by depositing barrier layer on three sides of the trench, reliability is enhanced. The team noted that the vias and RDL were separately formed by a two-pass lithography process using spin coat of an organic dielectric. After curing, the seed layer and copper were plated, followed by CMP and wet etch.
Amkor 团队首先开发了一种双镶嵌工艺(图 4b),将铜迹线嵌入聚合物层中。这一变化提高了 RDL 与基板的粘附力,并且通过在沟槽的三个侧面沉积阻挡层,提高了可靠性。该团队指出,通孔和 RDL 是通过使用有机电介质旋涂的两次光刻工艺分别形成的。固化后,电镀种子层和铜,然后进行 CMP 和湿法蚀刻。

The final process (figure 4c) combines the via and RDL patterning into one mask, reducing process steps by 40%. This change also eliminated misalignment between the via and capture pad. The three-step CMP process was changed to single CMP, followed by wet etch. CMP ensured flatter profiles for each RDL and 2μm line with 1μm spaces were fabricated on a four-layer RDL, with extendibility to six layers. Following assembly, the engineers performed reliability testing on the heterogenous devices.
最终工艺(图 4c)将通孔和 RDL 图案化合并到一个掩模中,从而减少了 40% 的工艺步骤。这一变化还消除了通孔和定位焊盘之间的错位。三步 CMP 工艺改为单步 CMP,然后进行湿法蚀刻。 CMP 确保每个 RDL 具有更平坦的轮廓,并在四层 RDL 上制造具有 1μm 间距的 2μm 线,并可扩展到六层。组装后,工程师对异构设备进行了可靠性测试。

Fig. 4: An RDL semi-additive process (a), was modified to dual damascene (b) and then simplified damascene (c) process that is extendible to 2/1 line/space traces. Source: Amkor

Fig. 4: An RDL semi-additive process (a), was modified to dual damascene (b) and then simplified damascene (c) process that is extendible to 2/1μm line/space traces. Source: Amkor
图 4:RDL 半加成工艺 (a) 修改为双镶嵌 (b),然后简化镶嵌 (c) 工艺,可扩展到 2/1μm 线/空间迹线。来源:Amkor

Also at ECTC, Lihong Cao, director of engineering at ASE, and her team showed how fan out to RDLs can be used to reduce the complexity and cost of ASICs on multilayer organic interposer (ABF) substrates. [2] ASE was able to convert a 14-layer substrate to 8 layers with 2 RDLs. A second test device showed a 10-layer substrate was reduced to 4 layers using 1 RDL. Such changes will reduce the cost and yield loss associated with increasingly complex substrates.
同样在 ECTC,ASE 工程总监 Lihong Cao 和她的团队展示了如何使用扇出至 RDL 来降低多层有机中介层 (ABF) 基板上 ASIC 的复杂性和成本。 [2] ASE 能够将 14 层基板转换为具有 2 个 RDL 的 8 层基板。第二个测试设备显示,使用 1 个 RDL 将 10 层基板减少为 4 层。这些变化将降低与日益复杂的基板相关的成本和产量损失。

Die shift 模具移位
Die shift can occur at any point after dies are picked and placed on the carrier wafer, but the biggest risk is during molding compound processing, which can impact yield.
在拾取芯片并将其放置到载体晶圆上后的任何时刻都可能发生芯片移位,但最大的风险是在模塑料加工过程中,这可能会影响良率。

Die shift can be reduced by using laser assisted bonding or thermocompression bonding in place of conventional mass flow. Another method is Adaptive Patterning, created by Deca and built into Cadence’s EDA tools. It will soon be available for Synopsys and Siemens EDA tools. In adaptive patterning (see figure 5), the process engineer measures the die and interconnect positions precisely on the lithography tool, then the deposited RDL pattern is adapted to those positions.
通过使用激光辅助键合或热压键合代替传统的质量流可以减少芯片移位。另一种方法是自适应模式,由 Deca 创建并内置于 Cadence 的 EDA 工具中。它很快将可用于 Synopsys 和西门子 EDA 工具。在自适应图案化中(见图 5),工艺工程师在光刻工具上精确测量芯片和互连位置,然后沉积的 RDL 图案适应这些位置。

Fig. 5: Adaptive Patterning aligns the RDL contacts with the actual location of the vias. Source: Deca

Fig. 5: Adaptive Patterning aligns the vias and RDL contacts with the actual location of the die. Source: Deca
图 5:自适应图案化将过孔和 RDL 触点与芯片的实际位置对齐。来源:德卡

“In the design process you determine which AP techniques will most optimally help you to scale to higher density or adjust the manufacturing process capability to achieve 100% yield, or very close to it,” said Tim Olson, CEO of Deca Technologies. “So there are decisions you make in the design process regarding which manufacturing factory is going to be used. Once you release the design to manufacturing, the patterning engine at our licensees in Taiwan, the Philippines, and Korea have servers whereby on each wafer, or each panel, we do high-speed optical scans to locate the I/Os. The engine takes the design instructions on one of those EDA systems, and then it executes per RDL layer, doing either alignment or optimization. In some cases, it’s redrawn to accommodate shift.” Finally, the GDSII file is converted into a digital bitmap and used by a compatible maskless lithography tool to print the aligned connections.
Deca Technologies 首席执行官 Tim Olson 表示:“在设计过程中,您需要确定哪种 AP 技术能够最有效地帮助您扩展到更高的密度或调整制造工艺能力,以实现 100% 的良率或非常接近 100% 的良率。” “因此,您在设计过程中需要做出关于将使用哪个制造工厂的决定。一旦您将设计发布到制造阶段,我们在台湾、菲律宾和韩国的授权商的图案化引擎就会配备服务器,我们可以在每个晶圆或每个面板上进行高速光学扫描来定位 I/O。该引擎在其中一个 EDA 系统上获取设计指令,然后在每个 RDL 层上执行,进行对齐或优化。在某些情况下,它会被重新绘制以适应转变。”最后,GDSII 文件被转换为数字位图,并由兼容的无掩模光刻工具用来打印对齐的连接。

“We have a new approach that eliminates capture pads,” Olson noted. “Capture pads were invented to take up overlay tolerances. With adaptive patterning, we can achieve breakthrough density without the use of capture pads.” He added that the specification on pick-and-place only needs to be 15µm, whereas much higher accuracy is needed without adaptive patterning, which lowers tool throughput significantly.
“我们有一种消除捕获垫的新方法,”奥尔森指出。 “捕获垫的发明是为了吸收重叠公差。通过自适应图案化,我们可以在不使用捕获垫的情况下实现突破性的密度。”他补充说,取放规格只需 15μm,而无需自适应图案化则需要更高的精度,这会显着降低工具吞吐量。

Die shift is also addressed by refining the choice of bonding material, as Brewer Science explains: “In order for bonding materials to maintain minimal vertical deformation during die placement and minimal die shift during over-molding, they have to have high melt viscosity and high thermal stability. This is particularly important due to the mismatch between the coefficients of thermal expansion (CTEs) of the carrier and substrate material. Bonding materials have to also be customized in a way that minimizes stress effects in stacked wafers, where warpage might occur, resulting in issues of alignment and handling. They should have sufficient adhesion to the substrate material to be able to tolerate such stresses.”
芯片移位也可以通过改进粘合材料的选择来解决,正如 Brewer Science 所解释的那样:“为了使粘合材料在芯片放置过程中保持最小的垂直变形以及在包覆成型过程中保持最小的芯片移位,它们必须具有高熔体粘度和高熔体粘度。”热稳定性。由于载体和基板材料的热膨胀系数 (CTE) 不匹配,这一点尤为重要。接合材料还必须以最小化堆叠晶圆中的应力影响的方式进行定制,堆叠晶圆中可能会发生翘曲,从而导致对准和处理问题。它们应该对基材有足够的粘附力,以便能够承受这样的应力。”

Stress and warpage
 应力和翘曲The mismatch of CTE between silicon, polyimide (in RDL), and epoxy molding compound creates warpage problems. Warpage leads to yield loss.
硅、聚酰亚胺(RDL 中)和环氧模塑料之间的 CTE 不匹配会产生翘曲问题。翘曲会导致良率损失。

“Warpage is definitely a problem. That’s why a lot of the individuals are moving to the compression molding and the bottom gated, compression molding versus the top system,” said Greely.
“翘曲绝对是一个问题。这就是为什么很多人转向压缩成型和底部浇口压缩成型而不是顶部系统,”Greely 说。

Another way to reduce stress and warping is by selecting better dielectric material with lower cure temperatures.
减少应力和翘曲的另一种方法是选择具有较低固化温度的更好介电材料。

Going to panels? 去面板?
Fan-out panel-level packaging (FOPLP) is an extension of wafer-level fan out that capitalizes on the larger substrate size of 510 x 515mm or 600 x 600mm, the SEMI standard sizes. Samsung got early buzz with its 2018 FOPLP for the Galaxy watch. Nepes launched the first fan-out panel-level packaging operation in Phillippines last year using 600 x 600um panels. Samsung, Powertech, Unimicron, and ASE either already have, or soon will have, FOPLP in volume production.
扇出面板级封装 (FOPLP) 是晶圆级扇出封装的延伸,它利用了 SEMI 标准尺寸 510 x 515 毫米或 600 x 600 毫米的较大基板尺寸。三星凭借其 2018 年 Galaxy 手表 FOPLP 引起了广泛关注。 Nepes 去年在菲律宾推出了首个使用 600 x 600um 面板的扇出面板级封装业务。三星、Powertech、Unimicron 和 ASE 已经或即将实现 FOPLP 的量产。

Though these companies appear to be moving forward, FOPLP is largely on hold until volumes dictate the need for a massive conversion from wafer carriers to panel-level processing. It’s unclear when that will change. “If they say five years is a window of opportunity, I would at least triple it,” said Greely. “Panelization is such a great idea, but there are challenges when you get into the details. It’s like telling people that we’re going to have a standardized chiplet.”
尽管这些公司似乎正在向前发展,但 FOPLP 基本上处于搁置状态,直到产量表明需要从晶圆载体大规模转换为面板级处理。目前尚不清楚这种情况何时会改变。 “如果他们说五年是一个机会之窗,我至少会把它增加三倍,”格里利说。 “面板化是一个好主意,但当你深入细节时就会遇到挑战。这就像告诉人们我们将拥有标准化的小芯片。”

Design 设计
While panels may still be in the future, the basic FOWLP layout has become so accepted that automated design tools already are well-established. Cadence has certified design flows with well-known foundries, according to Park, and will announce further developments at the upcoming LIP.
虽然面板可能仍处于未来阶段,但基本的 FOWLP 布局已被广泛接受,自动化设计工具已经成熟。 Park 表示,Cadence 已与知名代工厂认证了设计流程,并将在即将举行的 LIP 上宣布进一步的开发成果。

However, packages are a different world from laminates, cautions Park. For example, packages come with unique types of design rules, such as “zigzag insertion,” which is the need for a break in a lateral line to improve yield.
然而,Park 警告说,封装与层压板是不同的世界。例如,封装具有独特类型的设计规则,例如“之字形插入”,即需要在侧线中中断以提高产量。

“Traditional packaging tools output Gerber file formats (.grb), which are manufacturing formats for laminate substrates, not wafers,” said Park. “When you build a laminate, there is no formal sign off process, like DRC and LBF, as there is when building a wafer.”
“传统封装工具输出 Gerber 文件格式 (.grb),这是层压基板而不是晶圆的制造格式,”Park 说。 “当你构建层压板时,没有像构建晶圆时那样的 DRC 和 LBF 等正式签核流程。”

To address this problem, Cadence has created an extension that links IC verification tools with package physical design tools. “If someone is new to the IC world, they can just pick the rules they want to check against in a GUI, and the tool will do the LBS and DRC. And then, any results from that run will be presented back to the user inside the layout tool,” said Park.
为了解决这个问题,Cadence 创建了一个扩展,将 IC 验证工具与封装物理设计工具链接起来。 “如果有人是 IC 世界的新手,他们只需在 GUI 中选择想要检查的规则,该工具就会执行 LBS 和 DRC。然后,该运行的任何结果都将在布局工具内呈现给用户,”Park 说。

There are additional issues that can challenge engineers, regardless of their prior experience. “The requirements for ultra-high density RDLs, such as are found in TSMC’s inFO, are much more stringent than anything package designers have had to deal with in the past,” he said. Design tools are now taking into account metal balancing, with such issues as voiding pads and vias and degassing copper fill areas.
无论工程师以前的经验如何,还有其他问题可能会给他们带来挑战。 “对超高密度 RDL 的要求(例如台积电的 inFO 中的要求)比封装设计人员过去必须处理的任何要求都要严格得多,”他说。设计工具现在正在考虑金属平衡,以及诸如焊盘和通孔空洞以及铜填充区域脱气等问题。

Finally, there’s conductivity verification, which can be extremely complex when multiple chiplets are involved. “It may come at the last stage of production,” said Park, “But you have to think about it early on, because that net list that drives the LVS has to be built in the early stages of the design.”
最后,还有电导率验证,当涉及多个小芯片时,这可能会非常复杂。 “它可能会在生产的最后阶段出现,”Park 说,“但你必须尽早考虑它,因为驱动 LVS 的网络列表必须在设计的早期阶段构建。”

Conclusion 结论
The industry is finding multiple ways to use fan out packaging to streamline packages and simplify processes. “We had a customer replace a 12-layer substrate with a 5-layer RDL, and at the same time the body size shrank by 20%,” said Deca’s Olson. “Fan out is currently more expensive than the substrate solutions, but if you’re able to reduce the layer count, it’s very cost-competitive.”
业界正在寻找多种方法来使用扇出封装来简化封装和简化流程。 “我们让客户用 5 层 RDL 替换了 12 层基板,同时机身尺寸缩小了 20%,”Deca 的 Olson 说道。 “扇出目前比基板解决方案更昂贵,但如果能够减少层数,则非常具有成本竞争力。”

Vardaman sees both chip first and chip last schemes being required going forward. “Everything is about picking the right package and the right structure for what you’re trying to do.”
Vardaman 认为未来需要芯片优先和芯片最后两种方案。 “一切都是为了为你想要做的事情选择正确的包和正确的结构。”

References 参考
[1] S. Jin, et. al., “Substrate Silicon Wafer Integrated Fan-out Technology (S-SWIFT) Packaging with Fine Pitch Embedded Trace RDL,” IEEE 71st Electronic Components and Technology Conference (ECTC), 2022, doi: 10.1109/ECTC51906.2022.00218
[1]金圣,等。 al.,“具有细间距嵌入式走线 RDL 的基板硅晶圆集成扇出技术 (S-SWIFT) 封装”,IEEE 71 st 电子元件和技术会议 (ECTC),2022 年,doi:10.1109/ ECTC51906.2022.00218

[2] L. Cao, et., al., “Advanced Fanout Packaging Technology for Hybrid Substrate Integration,” IEEE 71st Electronic Components and Technology Conference (ECTC), 2022, doi: 10.1109/ECTC51906.2022.00219
[2] L. Cao 等人,“用于混合基板集成的高级扇出封装技术”,IEEE 71 st 电子元件和技术会议 (ECTC),2022 年,doi:10.1109/ECTC51906。 2022.00219


    本站是提供个人知识管理的网络存储空间,所有内容均由用户发布,不代表本站观点。请注意甄别内容中的联系方式、诱导购买等信息,谨防诈骗。如发现有害或侵权内容,请点击一键举报。
    转藏 分享 献花(0

    0条评论

    发表

    请遵守用户 评论公约

    类似文章 更多