mzsm IP属地:山东

文章 关注 粉丝 访问 贡献
 
共 193 篇文章
显示摘要每页显示  条
(完整内容见知识星球)4. Path group就是path group,如果有创建path group就会显示,没有的话一般就是指该条path的clock信息关于Path group的详细内容,比如为啥要创建Path group,如何查看/报告Path group,什么是Critical Range,如何利用Path group和Critical Range以及Weight来优化Timing可以查看下面的推文:《精华长文-Path Groups 与C...
ICC2 Innovus - voltage area的大小、形状和位置规划。和power switch有关吗?https://t.zsxq.com/vzrJQNr 如何避免一些Power Domain里面的Feedthrough AOB(Always On Buffer)https://t.zsxq.com/niAyZ37精华 - Always-On (AON) Buffer Insertion using Innovus systemhttps://t.zsxq.com/Z3zBURz MV Design,Power Domain太宽,不想让工具从Pow...
Innovus修复Short的脚本分享(附脚本思路详细讲解)本文选自知识星球,同时星球里面分享了ICC2/ICC/Innovus解决Short问题的脚本,这里分享一下相应的链接:《ICC/ICC2/Innovus 短路(Short)问题该如何解决?前面星球里面写了个推文是讲解如何用dbGet来得到error browser里面的结果并写脚本解决一些overlap的问题(能熟练掌握的话那么你的innovus脚...
Physical Cell 介绍——Boundary Cell (End-CAP Cell)在芯片制造的过程中,最边界的standard cell最容易受到损伤,为减少standard cell的制造过程中的损伤,需要在边界放置boundary cell,boundary cell有dummy poly gate,当制造过程中的损伤发生在边界时,boundary cell的损伤不会影响正常standard cell的功能。
Physical Cell 介绍——TAP Cell.TAP Cell只有两个连接关系:nWell连接VDD,pSub连接VSS。Innovus cmd:>set_well_tap_mode -rule $welltap_D -bottom_tap_cells $bottom tap_cell -top_tap_cell $top_tap_cells -cell $tap_cells>set_well_tap_mode -insert_cells {{TAPCELL1 rule $distance1} {TAPCELL2 rule $distance2}}>addWellTa...
Physical Cell 介绍——DCAP Cell.FC/ICC2 cmd:>set decap_cell [sort_collection -desc [get_lib_cells */DCAP*] area]>create_stdcell_fillers -lib_cell $decap_cell -continue_on_error>remove_stdcell_fillers_with_violation>set filler_cells [sort_collection -desc [get_lib_cells */FILL*] area]>create_stdcell_fill...
Physical Cell 介绍——Filler Cell.FC/ICC2 cmd:>set decap_cell [sort_collection -desc [get_lib_cells */DCAP*] area]>create_stdcell_fillers -lib_cell $decap_cell -continue_on_error>remove_stdcell_fillers_with_violation>set filler_cells [sort_collection -desc [get_lib_cells */FILL*] area]>create_stdcell_fi...
Physical Cell 介绍——TCD &ICOVL Cell.这是集成电路物理设计的第一个系列【physical cell】的第五篇文章,本文主要讲TCD Cell &ICOVL Cell相关知识:FEOL/MEOL dummy TCD层识别为TCDDMY,BEOL dummy TCD层识别为TCDDMY_Mn &TCDDMY_Vn,Full stack dummy TCD层识别为TCDDMY_ALL。如果FEOL TCD上面有金属M的绕线,则BEOL TCD包含金...
Standard Cell 介绍——Cell命名规则与特性。这是集成电路物理设计的第二个系列【standard cell】的第一篇文章,本篇文章从standard cell命名规则说起(TSMC standard cell):standard cell名称中的Dx代表该cell的驱动能力,x值越大,cell的驱动能力越强,同时面积和功耗越大。如果我们需要增加cell的驱动能力,则需要增加晶体管的宽度,在sta...
Standard Cell 介绍——CK &DCCK Cell.这是集成电路物理设计的第二个系列【standard cell】的第二篇文章,本篇文章主要讲解CK Cell &DCCK Cell相关知识:相比于CK Cell,DCCK Cell内部有CAP连接的晶体管,且相同驱动的DCCK Cell的面积比CK Cell的面积大。CK &DCCK Cell一般用于CTS中clock network上的cell。DCCK Cell的layout面积...
帮助 | 留言交流 | 联系我们 | 服务条款 | 下载网文摘手 | 下载手机客户端
北京六智信息技术股份有限公司 Copyright© 2005-2024 360doc.com , All Rights Reserved
京ICP证090625号 京ICP备05038915号 京网文[2016]6433-853号 京公网安备11010502030377号
返回
顶部